commit 90e280af07496f74eddcdd04e8c05018c3618b75 Author: Dreaded_X Date: Sat Sep 19 23:42:44 2020 +0200 First commit diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..3e2244c --- /dev/null +++ b/.gitignore @@ -0,0 +1,2 @@ +*.log +syn diff --git a/.project b/.project new file mode 100644 index 0000000..cc05a57 --- /dev/null +++ b/.project @@ -0,0 +1,45 @@ + + + Mojo-Demo + + + + + + net.sourceforge.veditor.simulateBuilder + + + net.sourceforge.veditor.simulateBuilder.00000000Default.CleanCommand + echo 'Clean' + + + net.sourceforge.veditor.simulateBuilder.00000000Default.buildOrder + 0 + + + net.sourceforge.veditor.simulateBuilder.00000000Default.command + echo 'No Build Configuration Specified' + + + net.sourceforge.veditor.simulateBuilder.00000000Default.enable + false + + + net.sourceforge.veditor.simulateBuilder.00000000Default.name + Default + + + net.sourceforge.veditor.simulateBuilder.00000000Default.parser + + + + net.sourceforge.veditor.simulateBuilder.00000000Default.workFolder + + + + + + + net.sourceforge.veditor.HdlNature + + diff --git a/LICENSE.txt b/LICENSE.txt new file mode 100644 index 0000000..680bd9f --- /dev/null +++ b/LICENSE.txt @@ -0,0 +1,21 @@ +The MIT License (MIT) + +Copyright (c) 2015 Embedded Micro + +Permission is hereby granted, free of charge, to any person obtaining a copy +of this software and associated documentation files (the "Software"), to deal +in the Software without restriction, including without limitation the rights +to use, copy, modify, merge, publish, distribute, sublicense, and/or sell +copies of the Software, and to permit persons to whom the Software is +furnished to do so, subject to the following conditions: + +The above copyright notice and this permission notice shall be included in +all copies or substantial portions of the Software. + +THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN +THE SOFTWARE. diff --git a/Mojo-Base.xise b/Mojo-Base.xise new file mode 100644 index 0000000..3ea6ee6 --- /dev/null +++ b/Mojo-Base.xise @@ -0,0 +1,551 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
diff --git a/async_fifo.v b/async_fifo.v new file mode 100644 index 0000000..0ae038a --- /dev/null +++ b/async_fifo.v @@ -0,0 +1,117 @@ +`timescale 1ns / 1ps +/* + This file was generated automatically by Alchitry Labs version 1.2.0. + Do not edit this file directly. Instead edit the original Lucid source. + This is a temporary file and any changes made to it will be destroyed. +*/ + +/* + Parameters: + SIZE = DATA_IN_SIZE + DEPTH = 16 +*/ +module async_fifo ( + input wclk, + input wrst, + input [29:0] din, + input wput, + output reg full, + input rclk, + input rrst, + output reg [29:0] dout, + input rget, + output reg empty + ); + + localparam SIZE = 5'h1e; + localparam DEPTH = 5'h10; + + + localparam ADDR_SIZE = 3'h4; + + reg [3:0] M_waddr_d, M_waddr_q = 1'h0; + reg [7:0] M_wsync_d, M_wsync_q = 1'h0; + + reg [3:0] M_raddr_d, M_raddr_q = 1'h0; + reg [7:0] M_rsync_d, M_rsync_q = 1'h0; + + wire [30-1:0] M_ram_read_data; + reg [1-1:0] M_ram_wclk; + reg [4-1:0] M_ram_waddr; + reg [30-1:0] M_ram_write_data; + reg [1-1:0] M_ram_write_en; + reg [1-1:0] M_ram_rclk; + reg [4-1:0] M_ram_raddr; + simple_dual_ram #(.SIZE(5'h1e), .DEPTH(5'h10)) ram ( + .wclk(M_ram_wclk), + .waddr(M_ram_waddr), + .write_data(M_ram_write_data), + .write_en(M_ram_write_en), + .rclk(M_ram_rclk), + .raddr(M_ram_raddr), + .read_data(M_ram_read_data) + ); + + reg [3:0] waddr_gray; + + reg [3:0] wnext_gray; + + reg [3:0] raddr_gray; + + reg wrdy; + reg rrdy; + + always @* begin + M_rsync_d = M_rsync_q; + M_wsync_d = M_wsync_q; + M_waddr_d = M_waddr_q; + M_raddr_d = M_raddr_q; + + M_ram_wclk = wclk; + M_ram_rclk = rclk; + M_ram_write_en = 1'h0; + waddr_gray = (M_waddr_q >> 1'h1) ^ M_waddr_q; + wnext_gray = ((M_waddr_q + 1'h1) >> 1'h1) ^ (M_waddr_q + 1'h1); + raddr_gray = (M_raddr_q >> 1'h1) ^ M_raddr_q; + M_rsync_d = {M_rsync_q[0+3-:4], waddr_gray}; + M_wsync_d = {M_wsync_q[0+3-:4], raddr_gray}; + wrdy = wnext_gray != M_wsync_q[4+3-:4]; + rrdy = raddr_gray != M_rsync_q[4+3-:4]; + full = !wrdy; + empty = !rrdy; + M_ram_waddr = M_waddr_q; + M_ram_raddr = M_raddr_q; + M_ram_write_data = din; + if (wput && wrdy) begin + M_waddr_d = M_waddr_q + 1'h1; + M_ram_write_en = 1'h1; + end + if (rget && rrdy) begin + M_raddr_d = M_raddr_q + 1'h1; + M_ram_raddr = M_raddr_q + 1'h1; + end + dout = M_ram_read_data; + end + + always @(posedge rclk) begin + if (rrst == 1'b1) begin + M_raddr_q <= 1'h0; + M_rsync_q <= 1'h0; + end else begin + M_raddr_q <= M_raddr_d; + M_rsync_q <= M_rsync_d; + end + end + + + always @(posedge wclk) begin + if (wrst == 1'b1) begin + M_waddr_q <= 1'h0; + M_wsync_q <= 1'h0; + end else begin + M_waddr_q <= M_waddr_d; + M_wsync_q <= M_wsync_d; + end + end + +endmodule \ No newline at end of file diff --git a/char_map.v b/char_map.v new file mode 100644 index 0000000..04ecf1e --- /dev/null +++ b/char_map.v @@ -0,0 +1,226 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 20:18:41 09/13/2020 +// Design Name: +// Module Name: char_map +// Project Name: +// Target Devices: +// Tool versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// +module char_map( + input clk, + input [7:0] index, + output reg [255:0] char +); + +wire [255:0] char_data [94:0]; + +always @(posedge clk) begin + char <= char_data[index]; +end + +assign char_data[ 0] = 256'h0000000000000000000000000000000000000000000000000000000000000000; // +assign char_data[ 1] = 256'h0000000007000f800f800f800f800f8007000700000000000700070007000000; // ! +assign char_data[ 2] = 256'h00000e380e380e380e3806300000000000000000000000000000000000000000; // " +assign char_data[ 3] = 256'h00000c300c300c307ffe7ffe0c300c300c300c307ffe7ffe0c300c300c300000; // # +assign char_data[ 4] = 256'h0000024002400ff81ff81a401a401ff00ff8025802581ff81ff0024002400000; // $ +assign char_data[ 5] = 256'h0000000000000e100e300e7000e001c0038007000e700c700870000000000000; // % +assign char_data[ 6] = 256'h000000000f001980198019800f000f080f9819f818f018e019f00f9800000000; // & +assign char_data[ 7] = 256'h000000000700070007000e000000000000000000000000000000000000000000; // ' +assign char_data[ 8] = 256'h0000000000f001c0038007000e000e000e000e000700038001c000f000000000; // ( +assign char_data[ 9] = 256'h000000000f00038001c000e0007000700070007000e001c003800f0000000000; // ) +assign char_data[10] = 256'h0000000001801188099007e007e03ffc3ffc07e007e009901188018000000000; // * +assign char_data[11] = 256'h00000000000001800180018001801ff81ff80180018001800180000000000000; // + +assign char_data[12] = 256'h000000000000000000000000000000000000000000000700070007000e000000; // , +assign char_data[13] = 256'h00000000000000000000000000001ff81ff80000000000000000000000000000; // - +assign char_data[14] = 256'h0000000000000000000000000000000000000000000007000700070000000000; // . +assign char_data[15] = 256'h0000000000020006000e001c0038007000e001c0038007000e001c0000000000; // / +assign char_data[16] = 256'h000000000ff01c381c781cf81cf81db81db81f381f381e381c380ff000000000; // 0 +assign char_data[17] = 256'h000000000180018003801f801f800380038003800380038003801ff000000000; // 1 +assign char_data[18] = 256'h000000000fe01c701c380038007000e001c0038007000e381c381ff800000000; // 2 +assign char_data[19] = 256'h000000000fe01c701c380038007003e003e0007000381c381c700fe000000000; // 3 +assign char_data[20] = 256'h0000000000e001e003e006e00ce018e01ff81ff800e000e000e003f800000000; // 4 +assign char_data[21] = 256'h000000001ff81c001c001c001c001fe01ff0007800381c381c700fe000000000; // 5 +assign char_data[22] = 256'h0000000003e007000e001c001c001ff01ff81c381c381c381c380ff000000000; // 6 +assign char_data[23] = 256'h000000001ffc1c1c1c1c1c1c001c0038007000e001c003800380038000000000; // 7 +assign char_data[24] = 256'h000000000ff01c381c381c381f3807e007e01cf81c381c381c380ff000000000; // 8 +assign char_data[25] = 256'h000000000ff01c381c381c381c381ff80ff800380038007000e007c000000000; // 9 +assign char_data[26] = 256'h0000000000000000038003800380000000000380038003800000000000000000; // : +assign char_data[27] = 256'h0000000000000000070007000700000000000700070007000e00000000000000; // ; +assign char_data[28] = 256'h0000007000e001c0038007000e001c001c000e000700038001c000e000700000; // < +assign char_data[29] = 256'h000000000000000000003ffc3ffc000000003ffc3ffc00000000000000000000; // = +assign char_data[30] = 256'h00001c000e000700038001c000e00070007000e001c0038007000e001c000000; // > +assign char_data[31] = 256'h000003c00ff01e7818380038007000e001c001c00000000001c001c001c00000; // ? +assign char_data[32] = 256'h000007f81c1c1c1c1c1c1c1c1cfc1cfc1cfc1cfc1c001c001c001c0007f80000; // @ +assign char_data[33] = 256'h0000000003c007e00e701c381c381c381c381ff81c381c381c381c3800000000; // A +assign char_data[34] = 256'h000000001ff00e380e380e380e380ff00ff00e380e380e380e381ff000000000; // B +assign char_data[35] = 256'h0000000007f00e381c381c001c001c001c001c001c001c380e3807f000000000; // C +assign char_data[36] = 256'h000000001fe00e700e380e380e380e380e380e380e380e380e701fe000000000; // D +assign char_data[37] = 256'h000000001ff80e180e080e000e300ff00ff00e300e000e080e181ff800000000; // E +assign char_data[38] = 256'h000000001ff80e180e080e000e300ff00ff00e300e000e000e001f0000000000; // F +assign char_data[39] = 256'h0000000007f00e381c381c381c001c001c001cf81c381c380e3807f800000000; // G +assign char_data[40] = 256'h000000001c701c701c701c701c701ff01ff01c701c701c701c701c7000000000; // H +assign char_data[41] = 256'h000000001fc007000700070007000700070007000700070007001fc000000000; // I +assign char_data[42] = 256'h0000000001fc0070007000700070007000701c701c701c701c700fe000000000; // J +assign char_data[43] = 256'h000000001e380e380e700ee00fc00f800f800fc00ee00e700e381e3800000000; // K +assign char_data[44] = 256'h000000001f000e000e000e000e000e000e000e000e080e180e381ff800000000; // L +assign char_data[45] = 256'h000000001c1c1e3c1f7c1ffc1ffc1ddc1c9c1c1c1c1c1c1c1c1c1c1c00000000; // M +assign char_data[46] = 256'h000000001c1c1c1c1e1c1f1c1f9c1ddc1cfc1c7c1c3c1c1c1c1c1c1c00000000; // N +assign char_data[47] = 256'h0000000003e007700e381c1c1c1c1c1c1c1c1c1c1c1c0e38077003e000000000; // O +assign char_data[48] = 256'h000000001ff00e380e380e380e380ff00ff00e000e000e000e001f0000000000; // P +assign char_data[49] = 256'h0000000003e00f780e381c1c1c1c1c1c1c1c1c7c1cfc0ff80ff8003800fc0000; // Q +assign char_data[50] = 256'h000000001ff00e380e380e380e380ff00ff00e700e380e380e381e3800000000; // R +assign char_data[51] = 256'h000000000ff01c381c381c381c000fe007f000381c381c381c380ff000000000; // S +assign char_data[52] = 256'h000000001ffc19cc11c401c001c001c001c001c001c001c001c007f000000000; // T +assign char_data[53] = 256'h000000001c701c701c701c701c701c701c701c701c701c701c700fe000000000; // U +assign char_data[54] = 256'h000000001c701c701c701c701c701c701c701c701c700ee007c0038000000000; // V +assign char_data[55] = 256'h000000001c1c1c1c1c1c1c1c1c1c1c9c1c9c1c9c0ff80f780770077000000000; // W +assign char_data[56] = 256'h000000001c701c701c700ee007c00380038007c00ee01c701c701c7000000000; // X +assign char_data[57] = 256'h000000001c701c701c701c701c700ee007c003800380038003800fe000000000; // Y +assign char_data[58] = 256'h000000001ff81c381838107000e001c0038007000e081c181c381ff800000000; // Z +assign char_data[59] = 256'h0000000007f0070007000700070007000700070007000700070007f000000000; // [ +assign char_data[60] = 256'h00000000100018001c000e000700038001c000e000700038001c000e00000000; // \ +assign char_data[61] = 256'h0000000007f0007000700070007000700070007000700070007007f000000000; // ] +assign char_data[62] = 256'h0000018003c007e00e701c380000000000000000000000000000000000000000; // ^ +assign char_data[63] = 256'h00000000000000000000000000000000000000000000000000000000ffffffff; // _ +assign char_data[64] = 256'h000000001c001c00070007000000000000000000000000000000000000000000; // ` +assign char_data[65] = 256'h0000000000000000000000000fe0007000700ff01c701c701c700f9800000000; // a +assign char_data[66] = 256'h000000001e000e000e000e000ff00e380e380e380e380e380e3819f000000000; // b +assign char_data[67] = 256'h0000000000000000000000000fe01c701c701c001c001c701c700fe000000000; // c +assign char_data[68] = 256'h0000000000f80070007000700ff01c701c701c701c701c701c700f9800000000; // d +assign char_data[69] = 256'h0000000000000000000000000fe01c701c701ff01c001c701c700fe000000000; // e +assign char_data[70] = 256'h0000000003e007700770070007001fe01fe007000700070007001fc000000000; // f +assign char_data[71] = 256'h0000000000000000000000000f981c701c701c701c700ff007f000701c700fe0; // g +assign char_data[72] = 256'h000000001e000e000e000e000ef00f380f380e380e380e380e381e3800000000; // h +assign char_data[73] = 256'h0000000001c001c001c000000fc001c001c001c001c001c001c00ff800000000; // i +assign char_data[74] = 256'h00000000007000700070000003f00070007000700070007000701c701c7007e0; // j +assign char_data[75] = 256'h000000001e000e000e000e000e380e700ee00fc00ee00e700e381e3800000000; // k +assign char_data[76] = 256'h000000000fc001c001c001c001c001c001c001c001c001c001c00ff800000000; // l +assign char_data[77] = 256'h0000000000000000000000001ff81c9c1c9c1c9c1c9c1c9c1c9c1c1c00000000; // m +assign char_data[78] = 256'h0000000000000000000000001fe01c701c701c701c701c701c701c7000000000; // n +assign char_data[79] = 256'h0000000000000000000000000fe01c701c701c701c701c701c700fe000000000; // o +assign char_data[80] = 256'h00000000000000000000000019f00e380e380e380e380e380ff00e000e001f00; // p +assign char_data[81] = 256'h0000000000000000000000001f3038e038e038e038e038e01fe000e000e001f0; // q +assign char_data[82] = 256'h0000000000000000000000001e700ff80f380e000e000e000e001f0000000000; // r +assign char_data[83] = 256'h0000000000000000000000000fe01c301c300f8003e0187018700fe000000000; // s +assign char_data[84] = 256'h0000000000000100030007001ff007000700070007000770077003e000000000; // t +assign char_data[85] = 256'h0000000000000000000000001c701c701c701c701c701c701c700f9800000000; // u +assign char_data[86] = 256'h0000000000000000000000001c701c701c701c701c700ee007c0038000000000; // v +assign char_data[87] = 256'h0000000000000000000000001c1c1c1c1c1c1c9c1c9c0f780770077000000000; // w +assign char_data[88] = 256'h0000000000000000000000001ce01ce00fc0078007800fc01ce01ce000000000; // x +assign char_data[89] = 256'h0000000000000000000000000e380e380e380e380e3807f003e000e001c01f80; // y +assign char_data[90] = 256'h0000000000000000000000001fe018e011c0038007000e201c601fe000000000; // z +assign char_data[91] = 256'h0000000001f803800380038007001c001c00070003800380038001f800000000; // { +assign char_data[92] = 256'h0000000003c003c003c003c003c00000000003c003c003c003c003c000000000; // | +assign char_data[93] = 256'h000000001f8001c001c001c000e00038003800e001c001c001c01f8000000000; // } +assign char_data[94] = 256'h000000001f1c3b9c39dc38f80000000000000000000000000000000000000000; // ~ + +//assign char_data[0] = 30'h00000000; // +//assign char_data[1] = 30'h1CE7380E; // ! +//assign char_data[2] = 30'h14A00000; // " +//assign char_data[3] = 30'hAFABEA; // # +//assign char_data[4] = 30'h8FA38BE; // $ +//assign char_data[5] = 30'h19D1173; // % +//assign char_data[6] = 30'h1905324D; // & +//assign char_data[7] = 30'h8400000; // ' +//assign char_data[8] = 30'hCC63186; // ( +//assign char_data[9] = 30'h186318CC; // ) +//assign char_data[10] = 30'h4ABAA4; // * +//assign char_data[11] = 30'h427C84; // + +//assign char_data[12] = 30'h0x8C; // , +//assign char_data[13] = 30'h3800; // - +//assign char_data[14] = 30'hC; // . +//assign char_data[15] = 30'hC663318; // / +//assign char_data[16] = 30'h3FBDEF7F; // 0 +//assign char_data[17] = 30'h3C6318DF; // 1 +//assign char_data[18] = 30'h3E3FE31F; // 2 +//assign char_data[19] = 30'h3E378C7F; // 3 +//assign char_data[20] = 30'h37BF8C63; // 4 +//assign char_data[21] = 30'h3F8F8C7F; // 5 +//assign char_data[22] = 30'h3F8FEF7F; // 6 +//assign char_data[23] = 30'h3E33318C; // 7 +//assign char_data[24] = 30'h3FBFEF7F; // 8 +//assign char_data[25] = 30'h3FBF8C7F; // 9 +//assign char_data[26] = 30'hC00180; // : +//assign char_data[27] = 30'hC00198; // ; +//assign char_data[28] = 30'h666186; // < +//assign char_data[29] = 30'h701C0; // = +//assign char_data[30] = 30'hC30CCC; // > +//assign char_data[31] = 30'h3C31B80C; // ? +//assign char_data[32] = 30'h1D1BDE0F; // @ +//assign char_data[33] = 30'h1DBDFF7B; // A +//assign char_data[34] = 30'h3DBF6F7E; // B +//assign char_data[35] = 30'h1DBC636E; // C +//assign char_data[36] = 30'h3DBDEF7E; // D +//assign char_data[37] = 30'h1F8F630F; // E +//assign char_data[38] = 30'h1F8F6318; // F +//assign char_data[39] = 30'h1F8C6F6F; // G +//assign char_data[40] = 30'h37BFEF7B; // H +//assign char_data[41] = 30'h3CC6319E; // I +//assign char_data[42] = 30'h3E6318DC; // J +//assign char_data[43] = 30'h37BE6F7B; // K +//assign char_data[44] = 30'h318C631F; // L +//assign char_data[45] = 30'h37FFEF7B; // M +//assign char_data[46] = 30'h3DBDEF7B; // N +//assign char_data[47] = 30'h1DBDEF6E; // O +//assign char_data[48] = 30'h3DBDFB18; // P +//assign char_data[49] = 30'h1DBDEFCF; // Q +//assign char_data[50] = 30'h3DBDF37B; // R +//assign char_data[51] = 30'h1F870C7E; // S +//assign char_data[52] = 30'h3EC6318C; // T +//assign char_data[53] = 30'h37BDEF6E; // U +//assign char_data[54] = 30'h37BDEDC4; // V +//assign char_data[55] = 30'h37BDFFFB; // W +//assign char_data[56] = 30'h37B26F7B; // X +//assign char_data[57] = 30'h37BF8C7E; // Y +//assign char_data[58] = 30'h3E33331F; // Z +//assign char_data[59] = 30'h1CC6318E; // [ +//assign char_data[60] = 30'h18C31863; // \ +//assign char_data[61] = 30'h1C6318CE; // ] +//assign char_data[62] = 30'h8A00000; // ^ +//assign char_data[63] = 30'h1F; // _ +//assign char_data[64] = 30'h10400000; // ` +//assign char_data[65] = 30'h7EF7D; // a +//assign char_data[66] = 30'h318F6F7F; // b +//assign char_data[67] = 30'h7E30F; // c +//assign char_data[68] = 30'h637EF6F; // d +//assign char_data[69] = 30'h76F8F; // e +//assign char_data[70] = 30'hEC67D8C; // f +//assign char_data[71] = 30'h1FBDBC7E; // g +//assign char_data[72] = 30'h318F6F7B; // h +//assign char_data[73] = 30'h18063186; // i +//assign char_data[74] = 30'hC6318DC; // j +//assign char_data[75] = 30'h31BD735B; // k +//assign char_data[76] = 30'h18C63186; // l +//assign char_data[77] = 30'h57F7B; // m +//assign char_data[78] = 30'hF6F7B; // n +//assign char_data[79] = 30'h7EF7E; // o +//assign char_data[80] = 30'h3DBDFB18; // p +//assign char_data[81] = 30'h1FBDBC63; // q +//assign char_data[82] = 30'h76F18; // r +//assign char_data[83] = 30'h7F0FE; // s +//assign char_data[84] = 30'h18CF3186; // t +//assign char_data[85] = 30'hDEF6F; // u +//assign char_data[86] = 30'hDED44; // v +//assign char_data[87] = 30'hDEFEA; // w +//assign char_data[88] = 30'hDBB7B; // x +//assign char_data[89] = 30'h37BDBC6E; // y +//assign char_data[90] = 30'hF999F; // z +//assign char_data[91] = 30'h623086; // { +//assign char_data[92] = 30'h421084; // | +//assign char_data[93] = 30'h610C46; // } +//assign char_data[94] = 30'hAA0000; // ~ + + +endmodule diff --git a/color_map.v b/color_map.v new file mode 100644 index 0000000..302dc6e --- /dev/null +++ b/color_map.v @@ -0,0 +1,55 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 22:16:37 09/18/2020 +// Design Name: +// Module Name: color_map +// Project Name: +// Target Devices: +// Tool versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// +module color_map( + input clk, + input[3:0] colorcode, + output reg[23:0] color +); + +wire [23:0] colors [15:0]; + +always @(posedge clk) begin + color <= colors[colorcode]; +end + +// VGA Colors +// Normal colors +assign colors[0] = 24'h000000; +assign colors[1] = 24'hAA0000; +assign colors[2] = 24'h00AA00; +assign colors[3] = 24'hAA5500; +assign colors[4] = 24'h0000AA; +assign colors[5] = 24'hAA00AA; +assign colors[6] = 24'h00AAAA; +assign colors[7] = 24'hAAAAAA; + +// Bright colors +assign colors[8] = 24'h555555; +assign colors[9] = 24'hFF5555; +assign colors[10] = 24'h55FF55; +assign colors[11] = 24'hFFFF55; +assign colors[12] = 24'h5555FF; +assign colors[13] = 24'hFF55FF; +assign colors[14] = 24'h55FFFF; +assign colors[15] = 24'hFFFFFF; + + +endmodule diff --git a/dvi_encoder.v b/dvi_encoder.v new file mode 100644 index 0000000..4ad5479 --- /dev/null +++ b/dvi_encoder.v @@ -0,0 +1,198 @@ +`timescale 1ns / 1ps +/* + This file was generated automatically by Alchitry Labs version 1.2.0. + Do not edit this file directly. Instead edit the original Lucid source. + This is a temporary file and any changes made to it will be destroyed. +*/ + +module dvi_encoder ( + input pclk, + input pclkx2, + input pclkx10, + input strobe, + input rst, + input [7:0] red, + input [7:0] green, + input [7:0] blue, + input hsync, + input vsync, + input de, + output reg [3:0] tmds, + output reg [3:0] tmdsb + ); + + + + reg M_toggle_d, M_toggle_q = 1'h0; + + wire [1-1:0] M_clkser_iob_out; + reg [5-1:0] M_clkser_data; + serdes_n_to_1 clkser ( + .ioclk(pclkx10), + .strobe(strobe), + .gclk(pclkx2), + .rst(rst), + .data(M_clkser_data), + .iob_out(M_clkser_iob_out) + ); + + wire [1-1:0] M_clkbuf_O; + wire [1-1:0] M_clkbuf_OB; + OBUFDS clkbuf ( + .I(M_clkser_iob_out), + .O(M_clkbuf_O), + .OB(M_clkbuf_OB) + ); + + wire [10-1:0] M_enc_blue_data_out; + reg [8-1:0] M_enc_blue_data_in; + reg [1-1:0] M_enc_blue_c0; + reg [1-1:0] M_enc_blue_c1; + reg [1-1:0] M_enc_blue_de; + tmds_encoder enc_blue ( + .clk(pclk), + .rst(rst), + .data_in(M_enc_blue_data_in), + .c0(M_enc_blue_c0), + .c1(M_enc_blue_c1), + .de(M_enc_blue_de), + .data_out(M_enc_blue_data_out) + ); + + wire [10-1:0] M_enc_green_data_out; + reg [8-1:0] M_enc_green_data_in; + reg [1-1:0] M_enc_green_c0; + reg [1-1:0] M_enc_green_c1; + reg [1-1:0] M_enc_green_de; + tmds_encoder enc_green ( + .clk(pclk), + .rst(rst), + .data_in(M_enc_green_data_in), + .c0(M_enc_green_c0), + .c1(M_enc_green_c1), + .de(M_enc_green_de), + .data_out(M_enc_green_data_out) + ); + + wire [10-1:0] M_enc_red_data_out; + reg [8-1:0] M_enc_red_data_in; + reg [1-1:0] M_enc_red_c0; + reg [1-1:0] M_enc_red_c1; + reg [1-1:0] M_enc_red_de; + tmds_encoder enc_red ( + .clk(pclk), + .rst(rst), + .data_in(M_enc_red_data_in), + .c0(M_enc_red_c0), + .c1(M_enc_red_c1), + .de(M_enc_red_de), + .data_out(M_enc_red_data_out) + ); + + wire [15-1:0] M_fifo_data_out; + reg [30-1:0] M_fifo_data_in; + fifo_2x_reducer fifo ( + .rst(rst), + .clk(pclk), + .clkx2(pclkx2), + .data_in(M_fifo_data_in), + .data_out(M_fifo_data_out) + ); + + wire [1-1:0] M_redser_iob_out; + reg [5-1:0] M_redser_data; + serdes_n_to_1 redser ( + .ioclk(pclkx10), + .strobe(strobe), + .gclk(pclkx2), + .rst(rst), + .data(M_redser_data), + .iob_out(M_redser_iob_out) + ); + + wire [1-1:0] M_greenser_iob_out; + reg [5-1:0] M_greenser_data; + serdes_n_to_1 greenser ( + .ioclk(pclkx10), + .strobe(strobe), + .gclk(pclkx2), + .rst(rst), + .data(M_greenser_data), + .iob_out(M_greenser_iob_out) + ); + + wire [1-1:0] M_blueser_iob_out; + reg [5-1:0] M_blueser_data; + serdes_n_to_1 blueser ( + .ioclk(pclkx10), + .strobe(strobe), + .gclk(pclkx2), + .rst(rst), + .data(M_blueser_data), + .iob_out(M_blueser_iob_out) + ); + + wire [1-1:0] M_redbuf_O; + wire [1-1:0] M_redbuf_OB; + OBUFDS redbuf ( + .I(M_redser_iob_out), + .O(M_redbuf_O), + .OB(M_redbuf_OB) + ); + + wire [1-1:0] M_greenbuf_O; + wire [1-1:0] M_greenbuf_OB; + OBUFDS greenbuf ( + .I(M_greenser_iob_out), + .O(M_greenbuf_O), + .OB(M_greenbuf_OB) + ); + + wire [1-1:0] M_bluebuf_O; + wire [1-1:0] M_bluebuf_OB; + OBUFDS bluebuf ( + .I(M_blueser_iob_out), + .O(M_bluebuf_O), + .OB(M_bluebuf_OB) + ); + + always @* begin + M_toggle_d = M_toggle_q; + + M_toggle_d = ~M_toggle_q; + M_clkser_data = {3'h5{~M_toggle_q}}; + tmds[3+0-:1] = M_clkbuf_O; + tmdsb[3+0-:1] = M_clkbuf_OB; + M_enc_red_data_in = red; + M_enc_green_data_in = green; + M_enc_blue_data_in = blue; + M_enc_red_c0 = hsync; + M_enc_red_c1 = vsync; + M_enc_red_de = de; + M_enc_green_c0 = hsync; + M_enc_green_c1 = vsync; + M_enc_green_de = de; + M_enc_blue_c0 = hsync; + M_enc_blue_c1 = vsync; + M_enc_blue_de = de; + M_fifo_data_in = {M_enc_red_data_out[5+4-:5], M_enc_green_data_out[5+4-:5], M_enc_blue_data_out[5+4-:5], M_enc_red_data_out[0+4-:5], M_enc_green_data_out[0+4-:5], M_enc_blue_data_out[0+4-:5]}; + M_redser_data = M_fifo_data_out[10+4-:5]; + M_greenser_data = M_fifo_data_out[5+4-:5]; + M_blueser_data = M_fifo_data_out[0+4-:5]; + tmds[0+0-:1] = M_bluebuf_O; + tmdsb[0+0-:1] = M_bluebuf_OB; + tmds[1+0-:1] = M_greenbuf_O; + tmdsb[1+0-:1] = M_greenbuf_OB; + tmds[2+0-:1] = M_redbuf_O; + tmdsb[2+0-:1] = M_redbuf_OB; + end + + always @(posedge pclkx2) begin + if (rst == 1'b1) begin + M_toggle_q <= 1'h0; + end else begin + M_toggle_q <= M_toggle_d; + end + end + +endmodule \ No newline at end of file diff --git a/fifo_2x_reducer.v b/fifo_2x_reducer.v new file mode 100644 index 0000000..928911c --- /dev/null +++ b/fifo_2x_reducer.v @@ -0,0 +1,68 @@ +`timescale 1ns / 1ps +/* + This file was generated automatically by Alchitry Labs version 1.2.0. + Do not edit this file directly. Instead edit the original Lucid source. + This is a temporary file and any changes made to it will be destroyed. +*/ + +/* + Parameters: + DATA_IN_SIZE = 30 +*/ +module fifo_2x_reducer ( + input rst, + input clk, + input clkx2, + input [29:0] data_in, + output reg [14:0] data_out + ); + + localparam DATA_IN_SIZE = 5'h1e; + + + wire [1-1:0] M_fifo_full; + wire [30-1:0] M_fifo_dout; + wire [1-1:0] M_fifo_empty; + reg [30-1:0] M_fifo_din; + reg [1-1:0] M_fifo_wput; + reg [1-1:0] M_fifo_rget; + async_fifo fifo ( + .wclk(clk), + .rclk(clkx2), + .wrst(rst), + .rrst(rst), + .din(M_fifo_din), + .wput(M_fifo_wput), + .rget(M_fifo_rget), + .full(M_fifo_full), + .dout(M_fifo_dout), + .empty(M_fifo_empty) + ); + + reg M_flag_d, M_flag_q = 1'h0; + reg [29:0] M_word_d, M_word_q = 1'h0; + + always @* begin + M_flag_d = M_flag_q; + M_word_d = M_word_q; + + M_fifo_din = data_in; + M_fifo_wput = 1'h1; + M_fifo_rget = 1'h0; + if (!M_flag_q && !M_fifo_empty) begin + M_fifo_rget = 1'h1; + M_flag_d = 1'h1; + M_word_d = M_fifo_dout; + end + if (M_flag_q) begin + M_flag_d = 1'h0; + end + data_out = !M_flag_q ? M_word_q[15+14-:15] : M_word_q[0+14-:15]; + end + + always @(posedge clkx2) begin + M_flag_q <= M_flag_d; + M_word_q <= M_word_d; + end + +endmodule \ No newline at end of file diff --git a/hdmi_encoder.v b/hdmi_encoder.v new file mode 100644 index 0000000..b4e9362 --- /dev/null +++ b/hdmi_encoder.v @@ -0,0 +1,146 @@ +`timescale 1ns / 1ps +/* + This file was generated automatically by Alchitry Labs version 1.2.0. + Do not edit this file directly. Instead edit the original Lucid source. + This is a temporary file and any changes made to it will be destroyed. +*/ + +/* + Parameters: + PCLK_DIV = 1 + Y_RES = HEIGHT + X_RES = WIDTH + Y_FRAME = HEIGHT+30 + X_FRAME = WIDTH+387 +*/ + +module hdmi_encoder #(parameter Y_RES = 720, parameter X_RES = 1280, parameter Y_FRAME = Y_RES+30, parameter X_FRAME = X_RES+387) ( + input clk, + input rst, + output reg pclk, + output reg [3:0] tmds, + output reg [3:0] tmdsb, + output reg active, + output reg [11:0] x, + output reg [10:0] y, + input [7:0] red, + input [7:0] green, + input [7:0] blue + ); + + localparam PCLK_DIV = 1'h1; + + + reg clkfbin; + + wire [1-1:0] M_pll_oserdes_CLKOUT0; + wire [1-1:0] M_pll_oserdes_CLKOUT1; + wire [1-1:0] M_pll_oserdes_CLKOUT2; + wire [1-1:0] M_pll_oserdes_CLKOUT3; + wire [1-1:0] M_pll_oserdes_CLKOUT4; + wire [1-1:0] M_pll_oserdes_CLKOUT5; + wire [1-1:0] M_pll_oserdes_CLKFBOUT; + wire [1-1:0] M_pll_oserdes_LOCKED; + PLL_BASE #(.CLKIN_PERIOD(10), .CLKFBOUT_MULT(10), .CLKOUT0_DIVIDE(1), .CLKOUT1_DIVIDE(10), .CLKOUT2_DIVIDE(5), .COMPENSATION("SOURCE_SYNCHRONOUS")) pll_oserdes ( + .CLKFBIN(clkfbin), + .CLKIN(clk), + .RST(1'h0), + .CLKOUT0(M_pll_oserdes_CLKOUT0), + .CLKOUT1(M_pll_oserdes_CLKOUT1), + .CLKOUT2(M_pll_oserdes_CLKOUT2), + .CLKOUT3(M_pll_oserdes_CLKOUT3), + .CLKOUT4(M_pll_oserdes_CLKOUT4), + .CLKOUT5(M_pll_oserdes_CLKOUT5), + .CLKFBOUT(M_pll_oserdes_CLKFBOUT), + .LOCKED(M_pll_oserdes_LOCKED) + ); + + wire [1-1:0] M_clkfb_buf_O; + BUFG clkfb_buf ( + .I(M_pll_oserdes_CLKFBOUT), + .O(M_clkfb_buf_O) + ); + + always @* begin + clkfbin = M_clkfb_buf_O; + end + + wire [1-1:0] M_pclkx2_buf_O; + BUFG pclkx2_buf ( + .I(M_pll_oserdes_CLKOUT2), + .O(M_pclkx2_buf_O) + ); + + wire [1-1:0] M_pclk_buf_O; + BUFG pclk_buf ( + .I(M_pll_oserdes_CLKOUT1), + .O(M_pclk_buf_O) + ); + + wire [1-1:0] M_ioclk_buf_IOCLK; + wire [1-1:0] M_ioclk_buf_SERDESSTROBE; + wire [1-1:0] M_ioclk_buf_LOCK; + BUFPLL #(.DIVIDE(5)) ioclk_buf ( + .PLLIN(M_pll_oserdes_CLKOUT0), + .GCLK(M_pclkx2_buf_O), + .LOCKED(M_pll_oserdes_LOCKED), + .IOCLK(M_ioclk_buf_IOCLK), + .SERDESSTROBE(M_ioclk_buf_SERDESSTROBE), + .LOCK(M_ioclk_buf_LOCK) + ); + + reg [11:0] M_ctrX_d, M_ctrX_q = 1'h0; + reg [10:0] M_ctrY_d, M_ctrY_q = 1'h0; + + reg hSync; + reg vSync; + reg drawArea; + + wire [4-1:0] M_dvi_tmds; + wire [4-1:0] M_dvi_tmdsb; + dvi_encoder dvi ( + .pclk(M_pclk_buf_O), + .pclkx2(M_pclkx2_buf_O), + .pclkx10(M_ioclk_buf_IOCLK), + .strobe(M_ioclk_buf_SERDESSTROBE), + .rst(~M_ioclk_buf_LOCK), + .blue(blue), + .green(green), + .red(red), + .hsync(hSync), + .vsync(vSync), + .de(drawArea), + .tmds(M_dvi_tmds), + .tmdsb(M_dvi_tmdsb) + ); + + always @* begin + M_ctrY_d = M_ctrY_q; + M_ctrX_d = M_ctrX_q; + + M_ctrX_d = (M_ctrX_q == 13'h0682) ? 1'h0 : M_ctrX_q + 1'h1; + if (M_ctrX_q == 13'h0682) begin + M_ctrY_d = (M_ctrY_q == 12'h2ed) ? 1'h0 : M_ctrY_q + 1'h1; + end + pclk = M_pclk_buf_O; + hSync = (M_ctrX_q >= 12'h50a) && (M_ctrX_q < 12'h514); + vSync = (M_ctrY_q >= 11'h2da) && (M_ctrY_q < 11'h2dc); + drawArea = (M_ctrX_q < 11'h500) && (M_ctrY_q < 10'h2d0); + active = drawArea; + x = M_ctrX_q; + y = M_ctrY_q; + tmds = M_dvi_tmds; + tmdsb = M_dvi_tmdsb; + end + + always @(posedge M_pclk_buf_O) begin + if (rst == 1'b1) begin + M_ctrX_q <= 1'h0; + M_ctrY_q <= 1'h0; + end else begin + M_ctrX_q <= M_ctrX_d; + M_ctrY_q <= M_ctrY_d; + end + end + +endmodule \ No newline at end of file diff --git a/ipcore_dir/.gitignore b/ipcore_dir/.gitignore new file mode 100644 index 0000000..e69de29 diff --git a/ipcore_dir/_xmsgs/cg.xmsgs b/ipcore_dir/_xmsgs/cg.xmsgs new file mode 100644 index 0000000..099f344 --- /dev/null +++ b/ipcore_dir/_xmsgs/cg.xmsgs @@ -0,0 +1,78 @@ + + + +Generating IP... + + +Verilog simulation file type 'Behavioral' is not valid for this core. Overriding with simulation file type 'Structural'. + + +A core named 'microblaze_mcs' already exists in the project. Output products for this core may be overwritten. + + +Verilog simulation file type 'Behavioral' is not valid for this core. Overriding with simulation file type 'Structural'. + + +A core named 'microblaze_mcs' already exists in the project. Output products for this core may be overwritten. + + +Pre-processing HDL files for 'microblaze_mcs'... + + +Running microblaze_mcs_gen_script.tcl + + +Please source the "microblaze_mcs_setup.tcl" script in the Tcl Console to complete MicroBlaze MCS core generation + + +Verilog simulation file type 'Behavioral' is not valid for this core. Overriding with simulation file type 'Structural'. + + +Verilog simulation file type 'Behavioral' is not valid for this core. Overriding with simulation file type 'Structural'. + + +Running microblaze_mcs_sim_script.tcl + + +C_MICROBLAZE_INSTANCE = microblaze_mcs + + +Netlist filename = ./_cg/microblaze_mcs.v + + +Setting INIT_FILE = "microblaze_mcs.lmb_bram_7.mem" for BRAM 7 + + +Setting INIT_FILE = "microblaze_mcs.lmb_bram_6.mem" for BRAM 6 + + +Setting INIT_FILE = "microblaze_mcs.lmb_bram_5.mem" for BRAM 5 + + +Setting INIT_FILE = "microblaze_mcs.lmb_bram_4.mem" for BRAM 4 + + +Setting INIT_FILE = "microblaze_mcs.lmb_bram_3.mem" for BRAM 3 + + +Setting INIT_FILE = "microblaze_mcs.lmb_bram_2.mem" for BRAM 2 + + +Setting INIT_FILE = "microblaze_mcs.lmb_bram_1.mem" for BRAM 1 + + +Setting INIT_FILE = "microblaze_mcs.lmb_bram_0.mem" for BRAM 0 + + +Finished generation of ASY schematic symbol. + + +Finished FLIST file generation. + + + + diff --git a/ipcore_dir/_xmsgs/pn_parser.xmsgs b/ipcore_dir/_xmsgs/pn_parser.xmsgs new file mode 100644 index 0000000..8d59638 --- /dev/null +++ b/ipcore_dir/_xmsgs/pn_parser.xmsgs @@ -0,0 +1,15 @@ + + + + + + + + + + +Analyzing Verilog file "/home/tim/Projects/z80/hdmi/ipcore_dir/hdmi_clk.v" into library work + + + + diff --git a/ipcore_dir/coregen.cgc b/ipcore_dir/coregen.cgc new file mode 100644 index 0000000..b0f9ef0 --- /dev/null +++ b/ipcore_dir/coregen.cgc @@ -0,0 +1,426 @@ + + + xilinx.com + project + coregen + 1.0 + + + hdmi_clk + + + hdmi_clk + true + false + false + false + false + No_Jitter + false + false + 50 + Units_MHz + Units_UI + REL_PRIMARY + 100.000 + UI + 0.010 + 0.010 + 0.010 + 0.010 + 200.0 + 100.0 + true + false + false + false + false + false + 2 + false + false + false + false + false + false + false + CLK_IN1 + CLK_OUT1 + CLK_OUT2 + CLK_OUT3 + CLK_OUT4 + CLK_OUT5 + CLK_OUT6 + CLK_OUT7 + DADDR + DCLK + DRDY + DWE + DIN + DOUT + DEN + PSCLK + PSEN + PSINCDEC + PSDONE + 75 + 0.000 + 50.000 + 150 + 0.000 + 50.000 + 100.000 + 0.000 + 50.000 + 100.000 + 0.000 + 50.000 + 100.000 + 0.000 + 50.000 + 100.000 + 0.000 + 50.000 + 100.000 + 0.000 + 50.000 + false + false + Single_ended_clock_capable_pin + false + CLK_IN2 + Single_ended_clock_capable_pin + BUFG + BUFG + BUFG + BUFG + BUFG + BUFG + BUFG + FDBK_AUTO + SINGLE + CLKFB_IN + CLKFB_IN_P + CLKFB_IN_N + CLKFB_OUT + CLKFB_OUT_P + CLKFB_OUT_N + lin64 + empty + false + DONE + false + false + false + false + false + false + false + RESET + LOCKED + POWER_DOWN + CLK_VALID + STATUS + CLK_IN_SEL + INPUT_CLK_STOPPED + CLKFB_STOPPED + false + None + 1 + OPTIMIZED + 4.000 + 0.000 + false + 10.000 + 10.000 + false + false + ZHOLD + 0.010 + 0.010 + false + 4.000 + 0.500 + 0.000 + false + 1 + 0.500 + 0.000 + false + 1 + 0.500 + 0.000 + false + 1 + 0.500 + 0.000 + false + 1 + 0.500 + 0.000 + false + 1 + 0.500 + 0.000 + false + 1 + 0.500 + 0.000 + false + false + None + 2.0 + 2 + 3 + false + 20.000 + NONE + SYSTEM_SYNCHRONOUS + 0 + NONE + false + CLKFX + CLK0 + CLK0 + CLK0 + CLK0 + CLK0 + false + None + 1 + 4 + 2 + 0.000 + false + 10.000 + NONE + CLKFX + CLKFX + CLKFX + false + None + OPTIMIZED + 9 + 0.000 + CLKFBOUT + 1 + 20.000 + INTERNAL + 0.010 + 6 + 0.500 + 0.000 + 3 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + 1 + 0.500 + 0.000 + NONE + AUTO + PLL_BASE + MMCM + CENTER_HIGH + 250 + hdmi_clk + + + + + coregen + ./ + ./tmp/ + ./tmp/_cg/ + + + xc6slx9 + spartan6 + tqg144 + -2 + + + BusFormatAngleBracketNotRipped + Verilog + true + Other + false + false + false + Ngc + false + + + Behavioral + Verilog + false + + + 2012-05-10+12:44 + + + + + + microblaze_mcs + + + USER2 + microblaze_mcs_v1_4 + mcs_0 + 150 + false + false + 16KB + false + false + false + 9600 + false + 8 + false + Even + false + false + false + false + 6216 + false + false + 6216 + false + false + 6216 + false + false + 6216 + false + false + 32 + true + None + false + false + 32 + true + None + false + false + 32 + true + None + false + false + 32 + true + None + false + true + 8 + 0x00000000 + true + 16 + 0x00000000 + true + 2 + 0x00000000 + true + 6 + 0x00000000 + true + 8 + None + true + 1 + Falling_Edge + true + 2 + None + false + 32 + None + false + 1 + 0x0000 + 0xFFFF + microblaze_mcs + + + + + coregen + ./ + ./tmp/ + ./tmp/_cg/ + + + xc6slx9 + spartan6 + tqg144 + -2 + + + BusFormatAngleBracketNotRipped + Verilog + true + Other + false + false + false + Ngc + false + + + Behavioral + Verilog + false + + + 2012-11-21+08:11 + + + + + + + + + coregen + ./ + ./tmp/ + ./tmp/_cg/ + + + xc6slx9 + spartan6 + tqg144 + -2 + + + BusFormatAngleBracketNotRipped + Verilog + true + Other + false + false + false + Ngc + false + + + Behavioral + Verilog + false + + + + + diff --git a/ipcore_dir/coregen.cgp b/ipcore_dir/coregen.cgp new file mode 100644 index 0000000..d58f8d7 --- /dev/null +++ b/ipcore_dir/coregen.cgp @@ -0,0 +1,9 @@ +SET busformat = BusFormatAngleBracketNotRipped +SET designentry = Verilog +SET device = xc6slx9 +SET devicefamily = spartan6 +SET flowvendor = Other +SET package = tqg144 +SET speedgrade = -2 +SET verilogsim = true +SET vhdlsim = false diff --git a/ipcore_dir/create_clk_wiz.tcl b/ipcore_dir/create_clk_wiz.tcl new file mode 100644 index 0000000..43ce562 --- /dev/null +++ b/ipcore_dir/create_clk_wiz.tcl @@ -0,0 +1,37 @@ +## +## Core Generator Run Script, generator for Project Navigator create command +## + +proc findRtfPath { relativePath } { + set xilenv "" + if { [info exists ::env(XILINX) ] } { + if { [info exists ::env(MYXILINX)] } { + set xilenv [join [list $::env(MYXILINX) $::env(XILINX)] $::xilinx::path_sep ] + } else { + set xilenv $::env(XILINX) + } + } + foreach path [ split $xilenv $::xilinx::path_sep ] { + set fullPath [ file join $path $relativePath ] + if { [ file exists $fullPath ] } { + return $fullPath + } + } + return "" +} + +source [ findRtfPath "data/projnav/scripts/dpm_cgUtils.tcl" ] + +set result [ run_cg_create "xilinx.com:ip:clk_wiz:3.6" "clk_wiz" "Clocking Wizard" "Clocking Wizard (xilinx.com:ip:clk_wiz:3.6) generated by Project Navigator" xc6slx9-2tqg144 Verilog ] + +if { $result == 0 } { + puts "Core Generator create command completed successfully." +} elseif { $result == 1 } { + puts "Core Generator create command failed." +} elseif { $result == 3 || $result == 4 } { + # convert 'version check' result to real return range, bypassing any messages. + set result [ expr $result - 3 ] +} else { + puts "Core Generator create cancelled." +} +exit $result diff --git a/ipcore_dir/create_hdmi_clk.tcl b/ipcore_dir/create_hdmi_clk.tcl new file mode 100644 index 0000000..c49d9f9 --- /dev/null +++ b/ipcore_dir/create_hdmi_clk.tcl @@ -0,0 +1,37 @@ +## +## Core Generator Run Script, generator for Project Navigator create command +## + +proc findRtfPath { relativePath } { + set xilenv "" + if { [info exists ::env(XILINX) ] } { + if { [info exists ::env(MYXILINX)] } { + set xilenv [join [list $::env(MYXILINX) $::env(XILINX)] $::xilinx::path_sep ] + } else { + set xilenv $::env(XILINX) + } + } + foreach path [ split $xilenv $::xilinx::path_sep ] { + set fullPath [ file join $path $relativePath ] + if { [ file exists $fullPath ] } { + return $fullPath + } + } + return "" +} + +source [ findRtfPath "data/projnav/scripts/dpm_cgUtils.tcl" ] + +set result [ run_cg_create "xilinx.com:ip:clk_wiz:3.6" "hdmi_clk" "Clocking Wizard" "Clocking Wizard (xilinx.com:ip:clk_wiz:3.6) generated by Project Navigator" xc6slx9-2tqg144 Verilog ] + +if { $result == 0 } { + puts "Core Generator create command completed successfully." +} elseif { $result == 1 } { + puts "Core Generator create command failed." +} elseif { $result == 3 || $result == 4 } { + # convert 'version check' result to real return range, bypassing any messages. + set result [ expr $result - 3 ] +} else { + puts "Core Generator create cancelled." +} +exit $result diff --git a/ipcore_dir/create_microblaze_mcs.tcl b/ipcore_dir/create_microblaze_mcs.tcl new file mode 100644 index 0000000..05e4463 --- /dev/null +++ b/ipcore_dir/create_microblaze_mcs.tcl @@ -0,0 +1,37 @@ +## +## Core Generator Run Script, generator for Project Navigator create command +## + +proc findRtfPath { relativePath } { + set xilenv "" + if { [info exists ::env(XILINX) ] } { + if { [info exists ::env(MYXILINX)] } { + set xilenv [join [list $::env(MYXILINX) $::env(XILINX)] $::xilinx::path_sep ] + } else { + set xilenv $::env(XILINX) + } + } + foreach path [ split $xilenv $::xilinx::path_sep ] { + set fullPath [ file join $path $relativePath ] + if { [ file exists $fullPath ] } { + return $fullPath + } + } + return "" +} + +source [ findRtfPath "data/projnav/scripts/dpm_cgUtils.tcl" ] + +set result [ run_cg_create "xilinx.com:ip:microblaze_mcs:1.4" "microblaze_mcs" "MicroBlaze MCS" "MicroBlaze MCS (xilinx.com:ip:microblaze_mcs:1.4) generated by Project Navigator" xc6slx9-2tqg144 Verilog ] + +if { $result == 0 } { + puts "Core Generator create command completed successfully." +} elseif { $result == 1 } { + puts "Core Generator create command failed." +} elseif { $result == 3 || $result == 4 } { + # convert 'version check' result to real return range, bypassing any messages. + set result [ expr $result - 3 ] +} else { + puts "Core Generator create cancelled." +} +exit $result diff --git a/ipcore_dir/edit_hdmi_clk.tcl b/ipcore_dir/edit_hdmi_clk.tcl new file mode 100644 index 0000000..1063920 --- /dev/null +++ b/ipcore_dir/edit_hdmi_clk.tcl @@ -0,0 +1,37 @@ +## +## Core Generator Run Script, generator for Project Navigator edit command +## + +proc findRtfPath { relativePath } { + set xilenv "" + if { [info exists ::env(XILINX) ] } { + if { [info exists ::env(MYXILINX)] } { + set xilenv [join [list $::env(MYXILINX) $::env(XILINX)] $::xilinx::path_sep ] + } else { + set xilenv $::env(XILINX) + } + } + foreach path [ split $xilenv $::xilinx::path_sep ] { + set fullPath [ file join $path $relativePath ] + if { [ file exists $fullPath ] } { + return $fullPath + } + } + return "" +} + +source [ findRtfPath "data/projnav/scripts/dpm_cgUtils.tcl" ] + +set result [ run_cg_edit "hdmi_clk" xc6slx9-2tqg144 Verilog ] + +if { $result == 0 } { + puts "Core Generator edit command completed successfully." +} elseif { $result == 1 } { + puts "Core Generator edit command failed." +} elseif { $result == 3 || $result == 4 } { + # convert 'version check' result to real return range, bypassing any messages. + set result [ expr $result - 3 ] +} else { + puts "Core Generator edit cancelled." +} +exit $result diff --git a/ipcore_dir/edit_microblaze_mcs.tcl b/ipcore_dir/edit_microblaze_mcs.tcl new file mode 100644 index 0000000..9a441b7 --- /dev/null +++ b/ipcore_dir/edit_microblaze_mcs.tcl @@ -0,0 +1,37 @@ +## +## Core Generator Run Script, generator for Project Navigator edit command +## + +proc findRtfPath { relativePath } { + set xilenv "" + if { [info exists ::env(XILINX) ] } { + if { [info exists ::env(MYXILINX)] } { + set xilenv [join [list $::env(MYXILINX) $::env(XILINX)] $::xilinx::path_sep ] + } else { + set xilenv $::env(XILINX) + } + } + foreach path [ split $xilenv $::xilinx::path_sep ] { + set fullPath [ file join $path $relativePath ] + if { [ file exists $fullPath ] } { + return $fullPath + } + } + return "" +} + +source [ findRtfPath "data/projnav/scripts/dpm_cgUtils.tcl" ] + +set result [ run_cg_edit "microblaze_mcs" xc6slx9-2tqg144 Verilog ] + +if { $result == 0 } { + puts "Core Generator edit command completed successfully." +} elseif { $result == 1 } { + puts "Core Generator edit command failed." +} elseif { $result == 3 || $result == 4 } { + # convert 'version check' result to real return range, bypassing any messages. + set result [ expr $result - 3 ] +} else { + puts "Core Generator edit cancelled." +} +exit $result diff --git a/ipcore_dir/hdmi_clk.asy b/ipcore_dir/hdmi_clk.asy new file mode 100644 index 0000000..62ce00e --- /dev/null +++ b/ipcore_dir/hdmi_clk.asy @@ -0,0 +1,17 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 hdmi_clk +RECTANGLE Normal 32 32 576 1088 +LINE Normal 0 80 32 80 +PIN 0 80 LEFT 36 +PINATTR PinName clk_in1 +PINATTR Polarity IN +LINE Normal 608 80 576 80 +PIN 608 80 RIGHT 36 +PINATTR PinName clk_out1 +PINATTR Polarity OUT +LINE Normal 608 176 576 176 +PIN 608 176 RIGHT 36 +PINATTR PinName clk_out2 +PINATTR Polarity OUT + diff --git a/ipcore_dir/hdmi_clk.gise b/ipcore_dir/hdmi_clk.gise new file mode 100644 index 0000000..b5fff2c --- /dev/null +++ b/ipcore_dir/hdmi_clk.gise @@ -0,0 +1,52 @@ + + + + + + + + + + + + + + + + + + + + 11.1 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/ipcore_dir/hdmi_clk.ncf b/ipcore_dir/hdmi_clk.ncf new file mode 100644 index 0000000..d7c4fad --- /dev/null +++ b/ipcore_dir/hdmi_clk.ncf @@ -0,0 +1,59 @@ +# file: hdmi_clk.ucf +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# Input clock periods. These duplicate the values entered for the +# input clocks. You can use these to time your system +#---------------------------------------------------------------- +NET "CLK_IN1" TNM_NET = "CLK_IN1"; +TIMESPEC "TS_CLK_IN1" = PERIOD "CLK_IN1" 20.000 ns HIGH 50% INPUT_JITTER 200.0ps; + + +# FALSE PATH constraints + + diff --git a/ipcore_dir/hdmi_clk.sym b/ipcore_dir/hdmi_clk.sym new file mode 100644 index 0000000..5ea4f73 --- /dev/null +++ b/ipcore_dir/hdmi_clk.sym @@ -0,0 +1,18 @@ + + + BLOCK + 2020-9-17T15:18:55 + + + + + hdmi_clk + + + + + + + + + diff --git a/ipcore_dir/hdmi_clk.ucf b/ipcore_dir/hdmi_clk.ucf new file mode 100755 index 0000000..8e2a3a8 --- /dev/null +++ b/ipcore_dir/hdmi_clk.ucf @@ -0,0 +1,58 @@ +# file: hdmi_clk.ucf +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# Input clock periods. These duplicate the values entered for the +# input clocks. You can use these to time your system +#---------------------------------------------------------------- +NET "CLK_IN1" TNM_NET = "CLK_IN1"; +TIMESPEC "TS_CLK_IN1" = PERIOD "CLK_IN1" 20.000 ns HIGH 50% INPUT_JITTER 200.0ps; + + +# FALSE PATH constraints + diff --git a/ipcore_dir/hdmi_clk.v b/ipcore_dir/hdmi_clk.v new file mode 100755 index 0000000..bfcc7fc --- /dev/null +++ b/ipcore_dir/hdmi_clk.v @@ -0,0 +1,143 @@ +// file: hdmi_clk.v +// +// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +//---------------------------------------------------------------------------- +// User entered comments +//---------------------------------------------------------------------------- +// None +// +//---------------------------------------------------------------------------- +// "Output Output Phase Duty Pk-to-Pk Phase" +// "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" +//---------------------------------------------------------------------------- +// CLK_OUT1____75.000______0.000______50.0______248.869____240.171 +// CLK_OUT2___150.000______0.000______50.0______216.897____240.171 +// +//---------------------------------------------------------------------------- +// "Input Clock Freq (MHz) Input Jitter (UI)" +//---------------------------------------------------------------------------- +// __primary______________50____________0.010 + +`timescale 1ps/1ps + +(* CORE_GENERATION_INFO = "hdmi_clk,clk_wiz_v3_6,{component_name=hdmi_clk,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=2,clkin1_period=20.000,clkin2_period=20.000,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}" *) +module hdmi_clk + (// Clock in ports + input CLK_IN1, + // Clock out ports + output CLK_OUT1, + output CLK_OUT2 + ); + + // Input buffering + //------------------------------------ + IBUFG clkin1_buf + (.O (clkin1), + .I (CLK_IN1)); + + + // Clocking primitive + //------------------------------------ + // Instantiation of the PLL primitive + // * Unused inputs are tied off + // * Unused outputs are labeled unused + wire [15:0] do_unused; + wire drdy_unused; + wire locked_unused; + wire clkfbout; + wire clkout2_unused; + wire clkout3_unused; + wire clkout4_unused; + wire clkout5_unused; + + PLL_BASE + #(.BANDWIDTH ("OPTIMIZED"), + .CLK_FEEDBACK ("CLKFBOUT"), + .COMPENSATION ("INTERNAL"), + .DIVCLK_DIVIDE (1), + .CLKFBOUT_MULT (9), + .CLKFBOUT_PHASE (0.000), + .CLKOUT0_DIVIDE (6), + .CLKOUT0_PHASE (0.000), + .CLKOUT0_DUTY_CYCLE (0.500), + .CLKOUT1_DIVIDE (3), + .CLKOUT1_PHASE (0.000), + .CLKOUT1_DUTY_CYCLE (0.500), + .CLKIN_PERIOD (20.000), + .REF_JITTER (0.010)) + pll_base_inst + // Output clocks + (.CLKFBOUT (clkfbout), + .CLKOUT0 (clkout0), + .CLKOUT1 (clkout1), + .CLKOUT2 (clkout2_unused), + .CLKOUT3 (clkout3_unused), + .CLKOUT4 (clkout4_unused), + .CLKOUT5 (clkout5_unused), + .LOCKED (locked_unused), + .RST (1'b0), + // Input clock control + .CLKFBIN (clkfbout), + .CLKIN (clkin1)); + + + // Output buffering + //----------------------------------- + + BUFG clkout1_buf + (.O (CLK_OUT1), + .I (clkout0)); + + + BUFG clkout2_buf + (.O (CLK_OUT2), + .I (clkout1)); + + + +endmodule diff --git a/ipcore_dir/hdmi_clk.veo b/ipcore_dir/hdmi_clk.veo new file mode 100755 index 0000000..b33065b --- /dev/null +++ b/ipcore_dir/hdmi_clk.veo @@ -0,0 +1,77 @@ +// +// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// +//---------------------------------------------------------------------------- +// User entered comments +//---------------------------------------------------------------------------- +// None +// +//---------------------------------------------------------------------------- +// "Output Output Phase Duty Pk-to-Pk Phase" +// "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" +//---------------------------------------------------------------------------- +// CLK_OUT1____75.000______0.000______50.0______248.869____240.171 +// CLK_OUT2___150.000______0.000______50.0______216.897____240.171 +// +//---------------------------------------------------------------------------- +// "Input Clock Freq (MHz) Input Jitter (UI)" +//---------------------------------------------------------------------------- +// __primary______________50____________0.010 + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG + + hdmi_clk instance_name + (// Clock in ports + .CLK_IN1(CLK_IN1), // IN + // Clock out ports + .CLK_OUT1(CLK_OUT1), // OUT + .CLK_OUT2(CLK_OUT2)); // OUT +// INST_TAG_END ------ End INSTANTIATION Template --------- diff --git a/ipcore_dir/hdmi_clk.xco b/ipcore_dir/hdmi_clk.xco new file mode 100644 index 0000000..b3e4514 --- /dev/null +++ b/ipcore_dir/hdmi_clk.xco @@ -0,0 +1,269 @@ +############################################################## +# +# Xilinx Core Generator version 14.7 +# Date: Thu Sep 17 15:18:44 2020 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:clk_wiz:3.6 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx9 +SET devicefamily = spartan6 +SET flowvendor = Other +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = tqg144 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -2 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT Clocking_Wizard xilinx.com:ip:clk_wiz:3.6 +# END Select +# BEGIN Parameters +CSET calc_done=DONE +CSET clk_in_sel_port=CLK_IN_SEL +CSET clk_out1_port=CLK_OUT1 +CSET clk_out1_use_fine_ps_gui=false +CSET clk_out2_port=CLK_OUT2 +CSET clk_out2_use_fine_ps_gui=false +CSET clk_out3_port=CLK_OUT3 +CSET clk_out3_use_fine_ps_gui=false +CSET clk_out4_port=CLK_OUT4 +CSET clk_out4_use_fine_ps_gui=false +CSET clk_out5_port=CLK_OUT5 +CSET clk_out5_use_fine_ps_gui=false +CSET clk_out6_port=CLK_OUT6 +CSET clk_out6_use_fine_ps_gui=false +CSET clk_out7_port=CLK_OUT7 +CSET clk_out7_use_fine_ps_gui=false +CSET clk_valid_port=CLK_VALID +CSET clkfb_in_n_port=CLKFB_IN_N +CSET clkfb_in_p_port=CLKFB_IN_P +CSET clkfb_in_port=CLKFB_IN +CSET clkfb_in_signaling=SINGLE +CSET clkfb_out_n_port=CLKFB_OUT_N +CSET clkfb_out_p_port=CLKFB_OUT_P +CSET clkfb_out_port=CLKFB_OUT +CSET clkfb_stopped_port=CLKFB_STOPPED +CSET clkin1_jitter_ps=200.0 +CSET clkin1_ui_jitter=0.010 +CSET clkin2_jitter_ps=100.0 +CSET clkin2_ui_jitter=0.010 +CSET clkout1_drives=BUFG +CSET clkout1_requested_duty_cycle=50.000 +CSET clkout1_requested_out_freq=75 +CSET clkout1_requested_phase=0.000 +CSET clkout2_drives=BUFG +CSET clkout2_requested_duty_cycle=50.000 +CSET clkout2_requested_out_freq=150 +CSET clkout2_requested_phase=0.000 +CSET clkout2_used=true +CSET clkout3_drives=BUFG +CSET clkout3_requested_duty_cycle=50.000 +CSET clkout3_requested_out_freq=100.000 +CSET clkout3_requested_phase=0.000 +CSET clkout3_used=false +CSET clkout4_drives=BUFG +CSET clkout4_requested_duty_cycle=50.000 +CSET clkout4_requested_out_freq=100.000 +CSET clkout4_requested_phase=0.000 +CSET clkout4_used=false +CSET clkout5_drives=BUFG +CSET clkout5_requested_duty_cycle=50.000 +CSET clkout5_requested_out_freq=100.000 +CSET clkout5_requested_phase=0.000 +CSET clkout5_used=false +CSET clkout6_drives=BUFG +CSET clkout6_requested_duty_cycle=50.000 +CSET clkout6_requested_out_freq=100.000 +CSET clkout6_requested_phase=0.000 +CSET clkout6_used=false +CSET clkout7_drives=BUFG +CSET clkout7_requested_duty_cycle=50.000 +CSET clkout7_requested_out_freq=100.000 +CSET clkout7_requested_phase=0.000 +CSET clkout7_used=false +CSET clock_mgr_type=AUTO +CSET component_name=hdmi_clk +CSET daddr_port=DADDR +CSET dclk_port=DCLK +CSET dcm_clk_feedback=NONE +CSET dcm_clk_out1_port=CLKFX +CSET dcm_clk_out2_port=CLK0 +CSET dcm_clk_out3_port=CLK0 +CSET dcm_clk_out4_port=CLK0 +CSET dcm_clk_out5_port=CLK0 +CSET dcm_clk_out6_port=CLK0 +CSET dcm_clkdv_divide=2.0 +CSET dcm_clkfx_divide=2 +CSET dcm_clkfx_multiply=3 +CSET dcm_clkgen_clk_out1_port=CLKFX +CSET dcm_clkgen_clk_out2_port=CLKFX +CSET dcm_clkgen_clk_out3_port=CLKFX +CSET dcm_clkgen_clkfx_divide=1 +CSET dcm_clkgen_clkfx_md_max=0.000 +CSET dcm_clkgen_clkfx_multiply=4 +CSET dcm_clkgen_clkfxdv_divide=2 +CSET dcm_clkgen_clkin_period=10.000 +CSET dcm_clkgen_notes=None +CSET dcm_clkgen_spread_spectrum=NONE +CSET dcm_clkgen_startup_wait=false +CSET dcm_clkin_divide_by_2=false +CSET dcm_clkin_period=20.000 +CSET dcm_clkout_phase_shift=NONE +CSET dcm_deskew_adjust=SYSTEM_SYNCHRONOUS +CSET dcm_notes=None +CSET dcm_phase_shift=0 +CSET dcm_pll_cascade=NONE +CSET dcm_startup_wait=false +CSET den_port=DEN +CSET din_port=DIN +CSET dout_port=DOUT +CSET drdy_port=DRDY +CSET dwe_port=DWE +CSET feedback_source=FDBK_AUTO +CSET in_freq_units=Units_MHz +CSET in_jitter_units=Units_UI +CSET input_clk_stopped_port=INPUT_CLK_STOPPED +CSET jitter_options=UI +CSET jitter_sel=No_Jitter +CSET locked_port=LOCKED +CSET mmcm_bandwidth=OPTIMIZED +CSET mmcm_clkfbout_mult_f=4.000 +CSET mmcm_clkfbout_phase=0.000 +CSET mmcm_clkfbout_use_fine_ps=false +CSET mmcm_clkin1_period=10.000 +CSET mmcm_clkin2_period=10.000 +CSET mmcm_clkout0_divide_f=4.000 +CSET mmcm_clkout0_duty_cycle=0.500 +CSET mmcm_clkout0_phase=0.000 +CSET mmcm_clkout0_use_fine_ps=false +CSET mmcm_clkout1_divide=1 +CSET mmcm_clkout1_duty_cycle=0.500 +CSET mmcm_clkout1_phase=0.000 +CSET mmcm_clkout1_use_fine_ps=false +CSET mmcm_clkout2_divide=1 +CSET mmcm_clkout2_duty_cycle=0.500 +CSET mmcm_clkout2_phase=0.000 +CSET mmcm_clkout2_use_fine_ps=false +CSET mmcm_clkout3_divide=1 +CSET mmcm_clkout3_duty_cycle=0.500 +CSET mmcm_clkout3_phase=0.000 +CSET mmcm_clkout3_use_fine_ps=false +CSET mmcm_clkout4_cascade=false +CSET mmcm_clkout4_divide=1 +CSET mmcm_clkout4_duty_cycle=0.500 +CSET mmcm_clkout4_phase=0.000 +CSET mmcm_clkout4_use_fine_ps=false +CSET mmcm_clkout5_divide=1 +CSET mmcm_clkout5_duty_cycle=0.500 +CSET mmcm_clkout5_phase=0.000 +CSET mmcm_clkout5_use_fine_ps=false +CSET mmcm_clkout6_divide=1 +CSET mmcm_clkout6_duty_cycle=0.500 +CSET mmcm_clkout6_phase=0.000 +CSET mmcm_clkout6_use_fine_ps=false +CSET mmcm_clock_hold=false +CSET mmcm_compensation=ZHOLD +CSET mmcm_divclk_divide=1 +CSET mmcm_notes=None +CSET mmcm_ref_jitter1=0.010 +CSET mmcm_ref_jitter2=0.010 +CSET mmcm_startup_wait=false +CSET num_out_clks=2 +CSET override_dcm=false +CSET override_dcm_clkgen=false +CSET override_mmcm=false +CSET override_pll=false +CSET platform=lin64 +CSET pll_bandwidth=OPTIMIZED +CSET pll_clk_feedback=CLKFBOUT +CSET pll_clkfbout_mult=9 +CSET pll_clkfbout_phase=0.000 +CSET pll_clkin_period=20.000 +CSET pll_clkout0_divide=6 +CSET pll_clkout0_duty_cycle=0.500 +CSET pll_clkout0_phase=0.000 +CSET pll_clkout1_divide=3 +CSET pll_clkout1_duty_cycle=0.500 +CSET pll_clkout1_phase=0.000 +CSET pll_clkout2_divide=1 +CSET pll_clkout2_duty_cycle=0.500 +CSET pll_clkout2_phase=0.000 +CSET pll_clkout3_divide=1 +CSET pll_clkout3_duty_cycle=0.500 +CSET pll_clkout3_phase=0.000 +CSET pll_clkout4_divide=1 +CSET pll_clkout4_duty_cycle=0.500 +CSET pll_clkout4_phase=0.000 +CSET pll_clkout5_divide=1 +CSET pll_clkout5_duty_cycle=0.500 +CSET pll_clkout5_phase=0.000 +CSET pll_compensation=INTERNAL +CSET pll_divclk_divide=1 +CSET pll_notes=None +CSET pll_ref_jitter=0.010 +CSET power_down_port=POWER_DOWN +CSET prim_in_freq=50 +CSET prim_in_jitter=0.010 +CSET prim_source=Single_ended_clock_capable_pin +CSET primary_port=CLK_IN1 +CSET primitive=MMCM +CSET primtype_sel=PLL_BASE +CSET psclk_port=PSCLK +CSET psdone_port=PSDONE +CSET psen_port=PSEN +CSET psincdec_port=PSINCDEC +CSET relative_inclk=REL_PRIMARY +CSET reset_port=RESET +CSET secondary_in_freq=100.000 +CSET secondary_in_jitter=0.010 +CSET secondary_port=CLK_IN2 +CSET secondary_source=Single_ended_clock_capable_pin +CSET ss_mod_freq=250 +CSET ss_mode=CENTER_HIGH +CSET status_port=STATUS +CSET summary_strings=empty +CSET use_clk_valid=false +CSET use_clkfb_stopped=false +CSET use_dyn_phase_shift=false +CSET use_dyn_reconfig=false +CSET use_freeze=false +CSET use_freq_synth=true +CSET use_inclk_stopped=false +CSET use_inclk_switchover=false +CSET use_locked=false +CSET use_max_i_jitter=false +CSET use_min_o_jitter=false +CSET use_min_power=false +CSET use_phase_alignment=false +CSET use_power_down=false +CSET use_reset=false +CSET use_spread_spectrum=false +CSET use_spread_spectrum_1=false +CSET use_status=false +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2012-05-10T12:44:55Z +# END Extra information +GENERATE +# CRC: 9368653d diff --git a/ipcore_dir/hdmi_clk.xdc b/ipcore_dir/hdmi_clk.xdc new file mode 100755 index 0000000..a686655 --- /dev/null +++ b/ipcore_dir/hdmi_clk.xdc @@ -0,0 +1,66 @@ +# file: hdmi_clk.xdc +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# Input clock periods. These duplicate the values entered for the +# input clocks. You can use these to time your system +#---------------------------------------------------------------- +create_clock -name CLK_IN1 -period 20.000 [get_ports CLK_IN1] +set_propagated_clock CLK_IN1 +set_input_jitter CLK_IN1 0.2 + + +# Derived clock periods. These are commented out because they are +# automatically propogated by the tools +# However, if you'd like to use them for module level testing, you +# can copy them into your module level timing checks +#----------------------------------------------------------------- + +#----------------------------------------------------------------- + +#----------------------------------------------------------------- diff --git a/ipcore_dir/hdmi_clk.xise b/ipcore_dir/hdmi_clk.xise new file mode 100644 index 0000000..df07c32 --- /dev/null +++ b/ipcore_dir/hdmi_clk.xise @@ -0,0 +1,74 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
diff --git a/ipcore_dir/hdmi_clk/clk_wiz_v3_6_readme.txt b/ipcore_dir/hdmi_clk/clk_wiz_v3_6_readme.txt new file mode 100644 index 0000000..91dcdd0 --- /dev/null +++ b/ipcore_dir/hdmi_clk/clk_wiz_v3_6_readme.txt @@ -0,0 +1,184 @@ +CHANGE LOG for LogiCORE Clocking Wizard V3.6 + + Release Date: June 19, 2013 +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURE HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm + +For system requirements: + + http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm + +This file contains release notes for the Xilinx LogiCORE IP Clocking Wizard v3.6 +solution. For the latest core updates, see the product page at: + + http://www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/ + +................................................................................ + +2. DEVICE SUPPORT + + + 2.1 ISE + + + The following device families are supported by the core for this release. + + All 7 Series devices + + + Zynq-7000 devices + Zynq-7000 + Defense Grade Zynq-7000Q (XQ) + + + All Virtex-6 devices + + + All Spartan-6 devices + + +................................................................................ + +3. NEW FEATURE HISTORY + + + 3.1 ISE + + - Spread Spectrum support for 7 series MMCME2 + + - ISE 14.2 software support + +................................................................................ + +4. RESOLVED ISSUES + + + 4.1 ISE + + Resolved issue with example design becoming core top in planAhead + + Resolved issue with Virtex6 MMCM instantiation for VHDL project + Please refer to AR 50719 - http://www.xilinx.com/support/answers/50719.htm + +................................................................................ + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + + The most recent information, including known issues, workarounds, and + resolutions for this version is provided in the IP Release Notes Guide + located at + + www.xilinx.com/support/documentation/user_guides/xtp025.pdf + + +................................................................................ + +6. TECHNICAL SUPPORT & FEEDBACK + + +To obtain technical support, create a WebCase at www.xilinx.com/support. +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + + +................................................................................ + +7. CORE RELEASE HISTORY + + +Date By Version Description +================================================================================ +06/19/2013 Xilinx, Inc. 3.6(Rev3) ISE 14.6 support +10/16/2012 Xilinx, Inc. 3.6(Rev2) ISE 14.3 support +07/25/2012 Xilinx, Inc. 3.6 ISE 14.2 support +04/24/2012 Xilinx, Inc. 3.5 ISE 14.1 support +01/18/2012 Xilinx, Inc. 3.3 ISE 13.4 support +06/22/2011 Xilinx, Inc. 3.2 ISE 13.2 support +03/01/2011 Xilinx, Inc. 3.1 ISE 13.1 support +12/14/2010 Xilinx, Inc. 1.8 ISE 12.4 support +09/21/2010 Xilinx, Inc. 1.7 ISE 12.3 support +07/23/2010 Xilinx, Inc. 1.6 ISE 12.2 support +04/19/2010 Xilinx, Inc. 1.5 ISE 12.1 support +12/02/2009 Xilinx, Inc. 1.4 ISE 11.4 support +09/16/2009 Xilinx, Inc. 1.3 ISE 11.3 support +06/24/2009 Xilinx, Inc. 1.2 ISE 11.2 support +04/24/2009 Xilinx, Inc. 1.1 Initial release; 11.1 support +================================================================================ + +................................................................................ + +8. LEGAL DISCLAIMER + +(c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. + +This file contains confidential and proprietary information +of Xilinx, Inc. and is protected under U.S. and +international copyright and other intellectual property +laws. + +DISCLAIMER +This disclaimer is not a license and does not grant any +rights to the materials distributed herewith. Except as +otherwise provided in a valid license issued to you by +Xilinx, and to the maximum extent permitted by applicable +law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +(2) Xilinx shall not be liable (whether in contract or tort, +including negligence, or under any other theory of +liability) for any loss or damage of any kind or nature +related to, arising under or in connection with these +materials, including for any direct, or any indirect, +special, incidental, or consequential loss or damage +(including loss of data, profits, goodwill, or any type of +loss or damage suffered as a result of any action brought +by a third party) even if such damage or loss was +reasonably foreseeable or Xilinx had been advised of the +possibility of the same. + +CRITICAL APPLICATIONS +Xilinx products are not designed or intended to be fail- +safe, or for use in any application requiring fail-safe +performance, such as life-support or safety devices or +systems, Class III medical devices, nuclear facilities, +applications related to the deployment of airbags, or any +other applications that could lead to death, personal +injury, or severe property or environmental damage +(individually and collectively, "Critical +Applications"). Customer assumes the sole risk and +liability of any use of Xilinx products in Critical +Applications, subject only to applicable laws and +regulations governing limitations on product liability. + +THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +PART OF THIS FILE AT ALL TIMES. + diff --git a/ipcore_dir/hdmi_clk/doc/clk_wiz_v3_6_readme.txt b/ipcore_dir/hdmi_clk/doc/clk_wiz_v3_6_readme.txt new file mode 100644 index 0000000..91dcdd0 --- /dev/null +++ b/ipcore_dir/hdmi_clk/doc/clk_wiz_v3_6_readme.txt @@ -0,0 +1,184 @@ +CHANGE LOG for LogiCORE Clocking Wizard V3.6 + + Release Date: June 19, 2013 +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURE HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm + +For system requirements: + + http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm + +This file contains release notes for the Xilinx LogiCORE IP Clocking Wizard v3.6 +solution. For the latest core updates, see the product page at: + + http://www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/ + +................................................................................ + +2. DEVICE SUPPORT + + + 2.1 ISE + + + The following device families are supported by the core for this release. + + All 7 Series devices + + + Zynq-7000 devices + Zynq-7000 + Defense Grade Zynq-7000Q (XQ) + + + All Virtex-6 devices + + + All Spartan-6 devices + + +................................................................................ + +3. NEW FEATURE HISTORY + + + 3.1 ISE + + - Spread Spectrum support for 7 series MMCME2 + + - ISE 14.2 software support + +................................................................................ + +4. RESOLVED ISSUES + + + 4.1 ISE + + Resolved issue with example design becoming core top in planAhead + + Resolved issue with Virtex6 MMCM instantiation for VHDL project + Please refer to AR 50719 - http://www.xilinx.com/support/answers/50719.htm + +................................................................................ + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + + The most recent information, including known issues, workarounds, and + resolutions for this version is provided in the IP Release Notes Guide + located at + + www.xilinx.com/support/documentation/user_guides/xtp025.pdf + + +................................................................................ + +6. TECHNICAL SUPPORT & FEEDBACK + + +To obtain technical support, create a WebCase at www.xilinx.com/support. +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + + +................................................................................ + +7. CORE RELEASE HISTORY + + +Date By Version Description +================================================================================ +06/19/2013 Xilinx, Inc. 3.6(Rev3) ISE 14.6 support +10/16/2012 Xilinx, Inc. 3.6(Rev2) ISE 14.3 support +07/25/2012 Xilinx, Inc. 3.6 ISE 14.2 support +04/24/2012 Xilinx, Inc. 3.5 ISE 14.1 support +01/18/2012 Xilinx, Inc. 3.3 ISE 13.4 support +06/22/2011 Xilinx, Inc. 3.2 ISE 13.2 support +03/01/2011 Xilinx, Inc. 3.1 ISE 13.1 support +12/14/2010 Xilinx, Inc. 1.8 ISE 12.4 support +09/21/2010 Xilinx, Inc. 1.7 ISE 12.3 support +07/23/2010 Xilinx, Inc. 1.6 ISE 12.2 support +04/19/2010 Xilinx, Inc. 1.5 ISE 12.1 support +12/02/2009 Xilinx, Inc. 1.4 ISE 11.4 support +09/16/2009 Xilinx, Inc. 1.3 ISE 11.3 support +06/24/2009 Xilinx, Inc. 1.2 ISE 11.2 support +04/24/2009 Xilinx, Inc. 1.1 Initial release; 11.1 support +================================================================================ + +................................................................................ + +8. LEGAL DISCLAIMER + +(c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. + +This file contains confidential and proprietary information +of Xilinx, Inc. and is protected under U.S. and +international copyright and other intellectual property +laws. + +DISCLAIMER +This disclaimer is not a license and does not grant any +rights to the materials distributed herewith. Except as +otherwise provided in a valid license issued to you by +Xilinx, and to the maximum extent permitted by applicable +law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +(2) Xilinx shall not be liable (whether in contract or tort, +including negligence, or under any other theory of +liability) for any loss or damage of any kind or nature +related to, arising under or in connection with these +materials, including for any direct, or any indirect, +special, incidental, or consequential loss or damage +(including loss of data, profits, goodwill, or any type of +loss or damage suffered as a result of any action brought +by a third party) even if such damage or loss was +reasonably foreseeable or Xilinx had been advised of the +possibility of the same. + +CRITICAL APPLICATIONS +Xilinx products are not designed or intended to be fail- +safe, or for use in any application requiring fail-safe +performance, such as life-support or safety devices or +systems, Class III medical devices, nuclear facilities, +applications related to the deployment of airbags, or any +other applications that could lead to death, personal +injury, or severe property or environmental damage +(individually and collectively, "Critical +Applications"). Customer assumes the sole risk and +liability of any use of Xilinx products in Critical +Applications, subject only to applicable laws and +regulations governing limitations on product liability. + +THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +PART OF THIS FILE AT ALL TIMES. + diff --git a/ipcore_dir/hdmi_clk/doc/clk_wiz_v3_6_vinfo.html b/ipcore_dir/hdmi_clk/doc/clk_wiz_v3_6_vinfo.html new file mode 100644 index 0000000..d6deba0 --- /dev/null +++ b/ipcore_dir/hdmi_clk/doc/clk_wiz_v3_6_vinfo.html @@ -0,0 +1,195 @@ + + +clk_wiz_v3_6_vinfo + + + +

+CHANGE LOG for LogiCORE Clocking Wizard V3.6 
+
+                    Release Date: June 19, 2013
+--------------------------------------------------------------------------------
+
+Table of Contents
+
+1. INTRODUCTION 
+2. DEVICE SUPPORT    
+3. NEW FEATURE HISTORY   
+4. RESOLVED ISSUES 
+5. KNOWN ISSUES & LIMITATIONS 
+6. TECHNICAL SUPPORT & FEEDBACK
+7. CORE RELEASE HISTORY 
+8. LEGAL DISCLAIMER 
+
+--------------------------------------------------------------------------------
+
+
+1. INTRODUCTION
+
+For installation instructions for this release, please go to:
+
+  www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm
+
+For system requirements:
+
+   www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm
+
+This file contains release notes for the Xilinx LogiCORE IP Clocking Wizard v3.6
+solution. For the latest core updates, see the product page at:
+
+   www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/
+
+................................................................................
+
+2. DEVICE SUPPORT
+
+
+  2.1 ISE 
+   
+  
+  The following device families are supported by the core for this release.
+  
+  All 7 Series devices
+
+
+  Zynq-7000 devices
+    Zynq-7000
+    Defense Grade Zynq-7000Q (XQ)
+
+
+  All Virtex-6 devices
+  
+  
+  All Spartan-6 devices
+  
+  
+................................................................................
+
+3. NEW FEATURE HISTORY 
+
+
+  3.1 ISE 
+  
+    - Spread Spectrum support for 7 series MMCME2
+
+    - ISE 14.2 software support
+
+................................................................................
+
+4. RESOLVED ISSUES
+
+
+  4.1 ISE 
+  
+      Resolved issue with example design becoming core top in planAhead
+
+      Resolved issue with Virtex6 MMCM instantiation for VHDL project
+      Please refer to AR 50719 - www.xilinx.com/support/answers/50719.htm
+
+................................................................................
+
+5. KNOWN ISSUES & LIMITATIONS
+
+
+  5.1 ISE 
+  
+  
+  The most recent information, including known issues, workarounds, and
+  resolutions for this version is provided in the IP Release Notes Guide
+  located at
+
+   www.xilinx.com/support/documentation/user_guides/xtp025.pdf
+  
+  
+................................................................................
+
+6. TECHNICAL SUPPORT & FEEDBACK
+
+
+To obtain technical support, create a WebCase at www.xilinx.com/support.
+Questions are routed to a team with expertise using this product.
+
+Xilinx provides technical support for use of this product when used
+according to the guidelines described in the core documentation, and
+cannot guarantee timing, functionality, or support of this product for
+designs that do not follow specified guidelines.
+
+
+................................................................................
+
+7. CORE RELEASE HISTORY
+
+
+Date        By            Version      Description
+================================================================================
+06/19/2013  Xilinx, Inc.  3.6(Rev3)    ISE 14.6 support
+10/16/2012  Xilinx, Inc.  3.6(Rev2)    ISE 14.3 support
+07/25/2012  Xilinx, Inc.  3.6          ISE 14.2 support
+04/24/2012  Xilinx, Inc.  3.5          ISE 14.1 support
+01/18/2012  Xilinx, Inc.  3.3          ISE 13.4 support
+06/22/2011  Xilinx, Inc.  3.2          ISE 13.2 support
+03/01/2011  Xilinx, Inc.  3.1          ISE 13.1 support
+12/14/2010  Xilinx, Inc.  1.8          ISE 12.4 support
+09/21/2010  Xilinx, Inc.  1.7          ISE 12.3 support
+07/23/2010  Xilinx, Inc.  1.6          ISE 12.2 support
+04/19/2010  Xilinx, Inc.  1.5          ISE 12.1 support
+12/02/2009  Xilinx, Inc.  1.4          ISE 11.4 support
+09/16/2009  Xilinx, Inc.  1.3          ISE 11.3 support
+06/24/2009  Xilinx, Inc.  1.2          ISE 11.2 support
+04/24/2009  Xilinx, Inc.  1.1          Initial release; 11.1 support
+================================================================================
+                          
+................................................................................
+
+8. LEGAL DISCLAIMER
+
+(c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
+
+This file contains confidential and proprietary information
+of Xilinx, Inc. and is protected under U.S. and
+international copyright and other intellectual property
+laws.
+
+DISCLAIMER
+This disclaimer is not a license and does not grant any
+rights to the materials distributed herewith. Except as
+otherwise provided in a valid license issued to you by
+Xilinx, and to the maximum extent permitted by applicable
+law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+(2) Xilinx shall not be liable (whether in contract or tort,
+including negligence, or under any other theory of
+liability) for any loss or damage of any kind or nature
+related to, arising under or in connection with these
+materials, including for any direct, or any indirect,
+special, incidental, or consequential loss or damage
+(including loss of data, profits, goodwill, or any type of
+loss or damage suffered as a result of any action brought
+by a third party) even if such damage or loss was
+reasonably foreseeable or Xilinx had been advised of the
+possibility of the same.
+
+CRITICAL APPLICATIONS
+Xilinx products are not designed or intended to be fail-
+safe, or for use in any application requiring fail-safe
+performance, such as life-support or safety devices or
+systems, Class III medical devices, nuclear facilities,
+applications related to the deployment of airbags, or any
+other applications that could lead to death, personal
+injury, or severe property or environmental damage
+(individually and collectively, "Critical
+Applications"). Customer assumes the sole risk and
+liability of any use of Xilinx products in Critical
+Applications, subject only to applicable laws and
+regulations governing limitations on product liability.
+
+THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+PART OF THIS FILE AT ALL TIMES.
+
+
+
+ + diff --git a/ipcore_dir/hdmi_clk/doc/pg065_clk_wiz.pdf b/ipcore_dir/hdmi_clk/doc/pg065_clk_wiz.pdf new file mode 100644 index 0000000..a7daa60 Binary files /dev/null and b/ipcore_dir/hdmi_clk/doc/pg065_clk_wiz.pdf differ diff --git a/ipcore_dir/hdmi_clk/example_design/hdmi_clk_exdes.ucf b/ipcore_dir/hdmi_clk/example_design/hdmi_clk_exdes.ucf new file mode 100755 index 0000000..d67e2c5 --- /dev/null +++ b/ipcore_dir/hdmi_clk/example_design/hdmi_clk_exdes.ucf @@ -0,0 +1,59 @@ +# file: hdmi_clk_exdes.ucf +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# Input clock periods. These duplicate the values entered for the +# input clocks. You can use these to time your system +#---------------------------------------------------------------- +NET "CLK_IN1" TNM_NET = "CLK_IN1"; +TIMESPEC "TS_CLK_IN1" = PERIOD "CLK_IN1" 20.000 ns HIGH 50% INPUT_JITTER 200.0ps; + + +# FALSE PATH constraints +PIN "COUNTER_RESET" TIG; + diff --git a/ipcore_dir/hdmi_clk/example_design/hdmi_clk_exdes.v b/ipcore_dir/hdmi_clk/example_design/hdmi_clk_exdes.v new file mode 100755 index 0000000..3a5e32b --- /dev/null +++ b/ipcore_dir/hdmi_clk/example_design/hdmi_clk_exdes.v @@ -0,0 +1,169 @@ +// file: hdmi_clk_exdes.v +// +// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// + +//---------------------------------------------------------------------------- +// Clocking wizard example design +//---------------------------------------------------------------------------- +// This example design instantiates the created clocking network, where each +// output clock drives a counter. The high bit of each counter is ported. +//---------------------------------------------------------------------------- + +`timescale 1ps/1ps + +module hdmi_clk_exdes + #( + parameter TCQ = 100 + ) + (// Clock in ports + input CLK_IN1, + // Reset that only drives logic in example design + input COUNTER_RESET, + output [2:1] CLK_OUT, + // High bits of counters driven by clocks + output [2:1] COUNT + ); + + // Parameters for the counters + //------------------------------- + // Counter width + localparam C_W = 16; + localparam NUM_C = 2; + genvar count_gen; + // Create reset for the counters + wire reset_int = COUNTER_RESET; + + reg [NUM_C:1] rst_sync; + reg [NUM_C:1] rst_sync_int; + reg [NUM_C:1] rst_sync_int1; + reg [NUM_C:1] rst_sync_int2; + + + // Declare the clocks and counters + wire [NUM_C:1] clk_int; + wire [NUM_C:1] clk_n; + wire [NUM_C:1] clk; + reg [C_W-1:0] counter [NUM_C:1]; + + // Instantiation of the clocking network + //-------------------------------------- + hdmi_clk clknetwork + (// Clock in ports + .CLK_IN1 (CLK_IN1), + // Clock out ports + .CLK_OUT1 (clk_int[1]), + .CLK_OUT2 (clk_int[2])); + +genvar clk_out_pins; + +generate + for (clk_out_pins = 1; clk_out_pins <= NUM_C; clk_out_pins = clk_out_pins + 1) + begin: gen_outclk_oddr + assign clk_n[clk_out_pins] = ~clk[clk_out_pins]; + + ODDR2 clkout_oddr + (.Q (CLK_OUT[clk_out_pins]), + .C0 (clk[clk_out_pins]), + .C1 (clk_n[clk_out_pins]), + .CE (1'b1), + .D0 (1'b1), + .D1 (1'b0), + .R (1'b0), + .S (1'b0)); + end +endgenerate + + // Connect the output clocks to the design + //----------------------------------------- + assign clk[1] = clk_int[1]; + assign clk[2] = clk_int[2]; + + + // Reset synchronizer + //----------------------------------- + generate for (count_gen = 1; count_gen <= NUM_C; count_gen = count_gen + 1) begin: counters_1 + always @(posedge reset_int or posedge clk[count_gen]) begin + if (reset_int) begin + rst_sync[count_gen] <= 1'b1; + rst_sync_int[count_gen]<= 1'b1; + rst_sync_int1[count_gen]<= 1'b1; + rst_sync_int2[count_gen]<= 1'b1; + end + else begin + rst_sync[count_gen] <= 1'b0; + rst_sync_int[count_gen] <= rst_sync[count_gen]; + rst_sync_int1[count_gen] <= rst_sync_int[count_gen]; + rst_sync_int2[count_gen] <= rst_sync_int1[count_gen]; + end + end + end + endgenerate + + + // Output clock sampling + //----------------------------------- + generate for (count_gen = 1; count_gen <= NUM_C; count_gen = count_gen + 1) begin: counters + + always @(posedge clk[count_gen] or posedge rst_sync_int2[count_gen]) begin + if (rst_sync_int2[count_gen]) begin + counter[count_gen] <= #TCQ { C_W { 1'b 0 } }; + end else begin + counter[count_gen] <= #TCQ counter[count_gen] + 1'b 1; + end + end + // alias the high bit of each counter to the corresponding + // bit in the output bus + assign COUNT[count_gen] = counter[count_gen][C_W-1]; + end + endgenerate + + + + + +endmodule diff --git a/ipcore_dir/hdmi_clk/example_design/hdmi_clk_exdes.xdc b/ipcore_dir/hdmi_clk/example_design/hdmi_clk_exdes.xdc new file mode 100755 index 0000000..a363ab5 --- /dev/null +++ b/ipcore_dir/hdmi_clk/example_design/hdmi_clk_exdes.xdc @@ -0,0 +1,68 @@ +# file: hdmi_clk_exdes.xdc +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# Input clock periods. These duplicate the values entered for the +# input clocks. You can use these to time your system +#---------------------------------------------------------------- +create_clock -name CLK_IN1 -period 20.000 [get_ports CLK_IN1] +set_propagated_clock CLK_IN1 +set_input_jitter CLK_IN1 0.2 + +# FALSE PATH constraint added on COUNTER_RESET +set_false_path -from [get_ports "COUNTER_RESET"] + +# Derived clock periods. These are commented out because they are +# automatically propogated by the tools +# However, if you'd like to use them for module level testing, you +# can copy them into your module level timing checks +#----------------------------------------------------------------- + +#----------------------------------------------------------------- + +#----------------------------------------------------------------- diff --git a/ipcore_dir/hdmi_clk/implement/implement.bat b/ipcore_dir/hdmi_clk/implement/implement.bat new file mode 100755 index 0000000..b20b724 --- /dev/null +++ b/ipcore_dir/hdmi_clk/implement/implement.bat @@ -0,0 +1,90 @@ +REM file: implement.bat +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM + +REM ----------------------------------------------------------------------------- +REM Script to synthesize and implement the RTL provided for the clocking wizard +REM ----------------------------------------------------------------------------- + +REM Clean up the results directory +rmdir /S /Q results +mkdir results + +REM Copy unisim_comp.v file to results directory +copy %XILINX%\verilog\src\iSE\unisim_comp.v .\results\ + +REM Synthesize the Verilog Wrapper Files +echo 'Synthesizing Clocking Wizard design with XST' +xst -ifn xst.scr +move hdmi_clk_exdes.ngc results\ + +REM Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +copy ..\example_design\hdmi_clk_exdes.ucf results\ + +cd results + +echo 'Running ngdbuild' +ngdbuild -uc hdmi_clk_exdes.ucf hdmi_clk_exdes + +echo 'Running map' +map -timing -pr b hdmi_clk_exdes -o mapped.ncd + +echo 'Running par' +par -w mapped.ncd routed mapped.pcf + +echo 'Running trce' +trce -e 10 routed -o routed mapped.pcf + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level model for the clocking wizard example design' +netgen -ofmt verilog -sim -sdf_anno false -tm hdmi_clk_exdes -w routed.ncd routed.v +cd .. + diff --git a/ipcore_dir/hdmi_clk/implement/implement.sh b/ipcore_dir/hdmi_clk/implement/implement.sh new file mode 100755 index 0000000..64dca60 --- /dev/null +++ b/ipcore_dir/hdmi_clk/implement/implement.sh @@ -0,0 +1,91 @@ +#!/bin/sh +# file: implement.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the RTL provided for the clocking wizard +#----------------------------------------------------------------------------- + +# Clean up the results directory +rm -rf results +mkdir results + +# Copy unisim_comp.v file to results directory +cp $XILINX/verilog/src/iSE/unisim_comp.v ./results/ + +# Synthesize the Verilog Wrapper Files +echo 'Synthesizing Clocking Wizard design with XST' +xst -ifn xst.scr +mv hdmi_clk_exdes.ngc results/ + +# Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +cp ../example_design/hdmi_clk_exdes.ucf results/ + +cd results + +echo 'Running ngdbuild' +ngdbuild -uc hdmi_clk_exdes.ucf hdmi_clk_exdes + +echo 'Running map' +map -timing hdmi_clk_exdes -o mapped.ncd + +echo 'Running par' +par -w mapped.ncd routed mapped.pcf + +echo 'Running trce' +trce -e 10 routed -o routed mapped.pcf + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level model for the clocking wizard example design' +netgen -ofmt verilog -sim -sdf_anno false -tm hdmi_clk_exdes -w routed.ncd routed.v + +cd .. diff --git a/ipcore_dir/hdmi_clk/implement/planAhead_ise.bat b/ipcore_dir/hdmi_clk/implement/planAhead_ise.bat new file mode 100755 index 0000000..8ac7718 --- /dev/null +++ b/ipcore_dir/hdmi_clk/implement/planAhead_ise.bat @@ -0,0 +1,58 @@ +REM file: planAhead_ise.bat +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM + +REM----------------------------------------------------------------------------- +REM Script to synthesize and implement the RTL provided for the clocking wizard +REM----------------------------------------------------------------------------- + +del \f results +mkdir results +cd results + +planAhead -mode batch -source ..\planAhead_ise.tcl diff --git a/ipcore_dir/hdmi_clk/implement/planAhead_ise.sh b/ipcore_dir/hdmi_clk/implement/planAhead_ise.sh new file mode 100755 index 0000000..6c8c837 --- /dev/null +++ b/ipcore_dir/hdmi_clk/implement/planAhead_ise.sh @@ -0,0 +1,59 @@ +#!/bin/sh +# file: planAhead_ise.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the RTL provided for the clocking wizard +#----------------------------------------------------------------------------- + +rm -rf results +mkdir results +cd results + +planAhead -mode batch -source ../planAhead_ise.tcl diff --git a/ipcore_dir/hdmi_clk/implement/planAhead_ise.tcl b/ipcore_dir/hdmi_clk/implement/planAhead_ise.tcl new file mode 100755 index 0000000..a9334d8 --- /dev/null +++ b/ipcore_dir/hdmi_clk/implement/planAhead_ise.tcl @@ -0,0 +1,78 @@ +# file: planAhead_ise.tcl +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +set projDir [file dirname [info script]] +set projName hdmi_clk +set topName hdmi_clk_exdes +set device xc6slx9tqg144-2 + +create_project $projName $projDir/results/$projName -part $device + +set_property design_mode RTL [get_filesets sources_1] + +## Source files +#set verilogSources [glob $srcDir/*.v] +import_files -fileset [get_filesets sources_1] -force -norecurse ../../example_design/hdmi_clk_exdes.v +import_files -fileset [get_filesets sources_1] -force -norecurse ../../../hdmi_clk.v + + +#UCF file +import_files -fileset [get_filesets constrs_1] -force -norecurse ../../example_design/hdmi_clk_exdes.ucf + +set_property top $topName [get_property srcset [current_run]] + +launch_runs -runs synth_1 +wait_on_run synth_1 + +set_property add_step Bitgen [get_runs impl_1] +launch_runs -runs impl_1 +wait_on_run impl_1 + + + diff --git a/ipcore_dir/hdmi_clk/implement/planAhead_rdn.bat b/ipcore_dir/hdmi_clk/implement/planAhead_rdn.bat new file mode 100755 index 0000000..42273f5 --- /dev/null +++ b/ipcore_dir/hdmi_clk/implement/planAhead_rdn.bat @@ -0,0 +1,58 @@ +REM file: planAhead_rdn.sh +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM + +REM----------------------------------------------------------------------------- +REM Script to synthesize and implement the RTL provided for the XADC wizard +REM----------------------------------------------------------------------------- + +del \f results +mkdir results +cd results + +planAhead -mode batch -source ..\planAhead_rdn.tcl diff --git a/ipcore_dir/hdmi_clk/implement/planAhead_rdn.sh b/ipcore_dir/hdmi_clk/implement/planAhead_rdn.sh new file mode 100755 index 0000000..f4c1472 --- /dev/null +++ b/ipcore_dir/hdmi_clk/implement/planAhead_rdn.sh @@ -0,0 +1,57 @@ +#!/bin/sh +# file: planAhead_rdn.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the RTL provided for the XADC wizard +#----------------------------------------------------------------------------- +rm -rf results +mkdir results +cd results +planAhead -mode batch -source ../planAhead_rdn.tcl diff --git a/ipcore_dir/hdmi_clk/implement/planAhead_rdn.tcl b/ipcore_dir/hdmi_clk/implement/planAhead_rdn.tcl new file mode 100755 index 0000000..38d8bd2 --- /dev/null +++ b/ipcore_dir/hdmi_clk/implement/planAhead_rdn.tcl @@ -0,0 +1,69 @@ +# file : planAhead_rdn.tcl +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +set device xc6slx9tqg144-2 +set projName hdmi_clk +set design hdmi_clk +set projDir [file dirname [info script]] +create_project $projName $projDir/results/$projName -part $device -force +set_property design_mode RTL [current_fileset -srcset] +set top_module hdmi_clk_exdes +set_property top hdmi_clk_exdes [get_property srcset [current_run]] +add_files -norecurse {../../../hdmi_clk.v} +add_files -norecurse {../../example_design/hdmi_clk_exdes.v} +import_files -fileset [get_filesets constrs_1 ] -force -norecurse {../../example_design/hdmi_clk_exdes.xdc} +synth_design +opt_design +place_design +route_design +write_sdf -rename_top_module hdmi_clk_exdes -file routed.sdf +write_verilog -nolib -mode timesim -sdf_anno false -rename_top_module hdmi_clk_exdes -file routed.v +report_timing -nworst 30 -path_type full -file routed.twr +report_drc -file report.drc +write_bitstream -bitgen_options {-g UnconstrainedPins:Allow} -file routed.bit diff --git a/ipcore_dir/hdmi_clk/implement/xst.prj b/ipcore_dir/hdmi_clk/implement/xst.prj new file mode 100755 index 0000000..d66fff4 --- /dev/null +++ b/ipcore_dir/hdmi_clk/implement/xst.prj @@ -0,0 +1,2 @@ +verilog work ../../hdmi_clk.v +verilog work ../example_design/hdmi_clk_exdes.v diff --git a/ipcore_dir/hdmi_clk/implement/xst.scr b/ipcore_dir/hdmi_clk/implement/xst.scr new file mode 100755 index 0000000..fc25306 --- /dev/null +++ b/ipcore_dir/hdmi_clk/implement/xst.scr @@ -0,0 +1,9 @@ +run +-ifmt MIXED +-top hdmi_clk_exdes +-p xc6slx9-tqg144-2 +-ifn xst.prj +-ofn hdmi_clk_exdes +-keep_hierarchy soft +-equivalent_register_removal no +-max_fanout 65535 diff --git a/ipcore_dir/hdmi_clk/simulation/functional/simcmds.tcl b/ipcore_dir/hdmi_clk/simulation/functional/simcmds.tcl new file mode 100755 index 0000000..45884f6 --- /dev/null +++ b/ipcore_dir/hdmi_clk/simulation/functional/simcmds.tcl @@ -0,0 +1,8 @@ +# file: simcmds.tcl + +# create the simulation script +vcd dumpfile isim.vcd +vcd dumpvars -m /hdmi_clk_tb -l 0 +wave add / +run 50000ns +quit diff --git a/ipcore_dir/hdmi_clk/simulation/functional/simulate_isim.bat b/ipcore_dir/hdmi_clk/simulation/functional/simulate_isim.bat new file mode 100755 index 0000000..d42dcbe --- /dev/null +++ b/ipcore_dir/hdmi_clk/simulation/functional/simulate_isim.bat @@ -0,0 +1,59 @@ +REM file: simulate_isim.bat +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM + +vlogcomp -work work %XILINX%\verilog\src\glbl.v +vlogcomp -work work ..\..\..\hdmi_clk.v +vlogcomp -work work ..\..\example_design\hdmi_clk_exdes.v +vlogcomp -work work ..\hdmi_clk_tb.v + +REM compile the project +fuse work.hdmi_clk_tb work.glbl -L unisims_ver -o hdmi_clk_isim.exe + +REM run the simulation script +.\hdmi_clk_isim.exe -gui -tclbatch simcmds.tcl diff --git a/ipcore_dir/hdmi_clk/simulation/functional/simulate_isim.sh b/ipcore_dir/hdmi_clk/simulation/functional/simulate_isim.sh new file mode 100755 index 0000000..771e369 --- /dev/null +++ b/ipcore_dir/hdmi_clk/simulation/functional/simulate_isim.sh @@ -0,0 +1,61 @@ +# file: simulate_isim.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# lin64 +# create the project +vlogcomp -work work ${XILINX}/verilog/src/glbl.v +vlogcomp -work work ../../../hdmi_clk.v +vlogcomp -work work ../../example_design/hdmi_clk_exdes.v +vlogcomp -work work ../hdmi_clk_tb.v + +# compile the project +fuse work.hdmi_clk_tb work.glbl -L unisims_ver -o hdmi_clk_isim.exe + +# run the simulation script +./hdmi_clk_isim.exe -gui -tclbatch simcmds.tcl diff --git a/ipcore_dir/hdmi_clk/simulation/functional/simulate_mti.bat b/ipcore_dir/hdmi_clk/simulation/functional/simulate_mti.bat new file mode 100755 index 0000000..7248eab --- /dev/null +++ b/ipcore_dir/hdmi_clk/simulation/functional/simulate_mti.bat @@ -0,0 +1,61 @@ +REM file: simulate_mti.bat +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM + +REM set up the working directory +vlib work + +REM compile all of the files +vlog -work work %XILINX%\verilog\src\glbl.v +vlog -work work ..\..\..\hdmi_clk.v +vlog -work work ..\..\example_design\hdmi_clk_exdes.v +vlog -work work ..\hdmi_clk_tb.v + +REM run the simulation +vsim -c -t ps -voptargs="+acc" -L secureip -L unisims_ver work.hdmi_clk_tb work.glbl + diff --git a/ipcore_dir/hdmi_clk/simulation/functional/simulate_mti.do b/ipcore_dir/hdmi_clk/simulation/functional/simulate_mti.do new file mode 100755 index 0000000..82293a7 --- /dev/null +++ b/ipcore_dir/hdmi_clk/simulation/functional/simulate_mti.do @@ -0,0 +1,65 @@ +# file: simulate_mti.do +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# set up the working directory +set work work +vlib work + +# compile all of the files +vlog -work work $env(XILINX)/verilog/src/glbl.v +vlog -work work ../../../hdmi_clk.v +vlog -work work ../../example_design/hdmi_clk_exdes.v +vlog -work work ../hdmi_clk_tb.v + +# run the simulation +vsim -t ps -voptargs="+acc" -L unisims_ver work.hdmi_clk_tb work.glbl +do wave.do +log hdmi_clk_tb/dut/counter +log -r /* +run 50000ns diff --git a/ipcore_dir/hdmi_clk/simulation/functional/simulate_mti.sh b/ipcore_dir/hdmi_clk/simulation/functional/simulate_mti.sh new file mode 100755 index 0000000..b1adf8e --- /dev/null +++ b/ipcore_dir/hdmi_clk/simulation/functional/simulate_mti.sh @@ -0,0 +1,61 @@ +#/bin/sh +# file: simulate_mti.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +# set up the working directory +set work work +vlib work + +# compile all of the files +vlog -work work $XILINX/verilog/src/glbl.v +vlog -work work ../../../hdmi_clk.v +vlog -work work ../../example_design/hdmi_clk_exdes.v +vlog -work work ../hdmi_clk_tb.v + +# run the simulation +vsim -c -t ps -voptargs="+acc" -L secureip -L unisims_ver work.hdmi_clk_tb work.glbl diff --git a/ipcore_dir/hdmi_clk/simulation/functional/simulate_ncsim.sh b/ipcore_dir/hdmi_clk/simulation/functional/simulate_ncsim.sh new file mode 100755 index 0000000..b38567f --- /dev/null +++ b/ipcore_dir/hdmi_clk/simulation/functional/simulate_ncsim.sh @@ -0,0 +1,62 @@ +#/bin/sh +# file: simulate_ncsim.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# set up the working directory +mkdir work + +# compile all of the files +ncvlog -work work ${XILINX}/verilog/src/glbl.v +ncvlog -work work ../../../hdmi_clk.v +ncvlog -work work ../../example_design/hdmi_clk_exdes.v +ncvlog -work work ../hdmi_clk_tb.v + +# elaborate and run the simulation +ncelab -work work -access +wc work.hdmi_clk_tb work.glbl +ncsim -input "@database -open -shm nc; probe -create -database nc -all -depth all; probe dut.counter; run 50000ns; exit" work.hdmi_clk_tb diff --git a/ipcore_dir/hdmi_clk/simulation/functional/simulate_vcs.sh b/ipcore_dir/hdmi_clk/simulation/functional/simulate_vcs.sh new file mode 100755 index 0000000..f8434f6 --- /dev/null +++ b/ipcore_dir/hdmi_clk/simulation/functional/simulate_vcs.sh @@ -0,0 +1,72 @@ +#!/bin/sh +# file: simulate_vcs.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# remove old files +rm -rf simv* csrc DVEfiles AN.DB + +# compile all of the files +# Note that -sverilog is not strictly required- You can +# remove the -sverilog if you change the type of the +# localparam for the periods in the testbench file to +# [63:0] from time +vlogan -sverilog \ + ${XILINX}/verilog/src/glbl.v \ + ../../../hdmi_clk.v \ + ../../example_design/hdmi_clk_exdes.v \ + ../hdmi_clk_tb.v + +# prepare the simulation +vcs +vcs+lic+wait -debug hdmi_clk_tb glbl + +# run the simulation +./simv -ucli -i ucli_commands.key + +# launch the viewer +dve -vpd vcdplus.vpd -session vcs_session.tcl diff --git a/ipcore_dir/hdmi_clk/simulation/functional/ucli_commands.key b/ipcore_dir/hdmi_clk/simulation/functional/ucli_commands.key new file mode 100755 index 0000000..bde2894 --- /dev/null +++ b/ipcore_dir/hdmi_clk/simulation/functional/ucli_commands.key @@ -0,0 +1,5 @@ +call {$vcdpluson} +call {$vcdplusmemon(hdmi_clk_tb.dut.counter)} +run +call {$vcdplusclose} +quit diff --git a/ipcore_dir/hdmi_clk/simulation/functional/vcs_session.tcl b/ipcore_dir/hdmi_clk/simulation/functional/vcs_session.tcl new file mode 100755 index 0000000..013047a --- /dev/null +++ b/ipcore_dir/hdmi_clk/simulation/functional/vcs_session.tcl @@ -0,0 +1,15 @@ +gui_open_window Wave +gui_sg_create hdmi_clk_group +gui_list_add_group -id Wave.1 {hdmi_clk_group} +gui_sg_addsignal -group hdmi_clk_group {hdmi_clk_tb.test_phase} +gui_set_radix -radix {ascii} -signals {hdmi_clk_tb.test_phase} +gui_sg_addsignal -group hdmi_clk_group {{Input_clocks}} -divider +gui_sg_addsignal -group hdmi_clk_group {hdmi_clk_tb.CLK_IN1} +gui_sg_addsignal -group hdmi_clk_group {{Output_clocks}} -divider +gui_sg_addsignal -group hdmi_clk_group {hdmi_clk_tb.dut.clk} +gui_list_expand -id Wave.1 hdmi_clk_tb.dut.clk +gui_sg_addsignal -group hdmi_clk_group {{Counters}} -divider +gui_sg_addsignal -group hdmi_clk_group {hdmi_clk_tb.COUNT} +gui_sg_addsignal -group hdmi_clk_group {hdmi_clk_tb.dut.counter} +gui_list_expand -id Wave.1 hdmi_clk_tb.dut.counter +gui_zoom -window Wave.1 -full diff --git a/ipcore_dir/hdmi_clk/simulation/functional/wave.do b/ipcore_dir/hdmi_clk/simulation/functional/wave.do new file mode 100755 index 0000000..ee7fd93 --- /dev/null +++ b/ipcore_dir/hdmi_clk/simulation/functional/wave.do @@ -0,0 +1,57 @@ +# file: wave.do +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +add wave -noupdate -format Literal -radix ascii /hdmi_clk_tb/test_phase +add wave -noupdate -divider {Input clocks} +add wave -noupdate -format Logic /hdmi_clk_tb/CLK_IN1 +add wave -noupdate -divider {Output clocks} +add wave -noupdate -format Literal -expand /hdmi_clk_tb/dut/clk +add wave -noupdate -divider Counters +add wave -noupdate -format Literal -radix hexadecimal /hdmi_clk_tb/COUNT +add wave -noupdate -format Literal -radix hexadecimal -expand /hdmi_clk_tb/dut/counter diff --git a/ipcore_dir/hdmi_clk/simulation/functional/wave.sv b/ipcore_dir/hdmi_clk/simulation/functional/wave.sv new file mode 100755 index 0000000..6b6cc52 --- /dev/null +++ b/ipcore_dir/hdmi_clk/simulation/functional/wave.sv @@ -0,0 +1,111 @@ +# file: wave.sv +# +# (c) Copyright 2008 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +# Get the windows set up +# +if {[catch {window new WatchList -name "Design Browser 1" -geometry 1054x819+536+322}] != ""} { + window geometry "Design Browser 1" 1054x819+536+322 +} +window target "Design Browser 1" on +browser using {Design Browser 1} +browser set \ + -scope nc::hdmi_clk_tb +browser yview see nc::hdmi_clk_tb +browser timecontrol set -lock 0 + +if {[catch {window new WaveWindow -name "Waveform 1" -geometry 1010x600+0+541}] != ""} { + window geometry "Waveform 1" 1010x600+0+541 +} +window target "Waveform 1" on +waveform using {Waveform 1} +waveform sidebar visibility partial +waveform set \ + -primarycursor TimeA \ + -signalnames name \ + -signalwidth 175 \ + -units ns \ + -valuewidth 75 +cursor set -using TimeA -time 0 +waveform baseline set -time 0 +waveform xview limits 0 20000n + +# +# Define signal groups +# +catch {group new -name {Output clocks} -overlay 0} +catch {group new -name {Status/control} -overlay 0} +catch {group new -name {Counters} -overlay 0} + +set id [waveform add -signals [list {nc::hdmi_clk_tb.CLK_IN1}]] + +group using {Output clocks} +group set -overlay 0 +group set -comment {} +group clear 0 end + +group insert \ + {hdmi_clk_tb.dut.clk[1]} \ + {hdmi_clk_tb.dut.clk[2]} +group using {Counters} +group set -overlay 0 +group set -comment {} +group clear 0 end + +group insert \ + {hdmi_clk_tb.dut.counter[1]} \ + {hdmi_clk_tb.dut.counter[2]} + +set id [waveform add -signals [list {nc::hdmi_clk_tb.COUNT} ]] + +set id [waveform add -signals [list {nc::hdmi_clk_tb.test_phase} ]] +waveform format $id -radix %a + +set groupId [waveform add -groups {{Input clocks}}] +set groupId [waveform add -groups {{Output clocks}}] +set groupId [waveform add -groups {{Status/control}}] +set groupId [waveform add -groups {{Counters}}] diff --git a/ipcore_dir/hdmi_clk/simulation/hdmi_clk_tb.v b/ipcore_dir/hdmi_clk/simulation/hdmi_clk_tb.v new file mode 100755 index 0000000..d50264e --- /dev/null +++ b/ipcore_dir/hdmi_clk/simulation/hdmi_clk_tb.v @@ -0,0 +1,133 @@ +// file: hdmi_clk_tb.v +// +// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// + +//---------------------------------------------------------------------------- +// Clocking wizard demonstration testbench +//---------------------------------------------------------------------------- +// This demonstration testbench instantiates the example design for the +// clocking wizard. Input clocks are toggled, which cause the clocking +// network to lock and the counters to increment. +//---------------------------------------------------------------------------- + +`timescale 1ps/1ps + +`define wait_lock @(posedge dut.clknetwork.pll_base_inst.LOCKED) + +module hdmi_clk_tb (); + + // Clock to Q delay of 100ps + localparam TCQ = 100; + + + // timescale is 1ps/1ps + localparam ONE_NS = 1000; + localparam PHASE_ERR_MARGIN = 100; // 100ps + // how many cycles to run + localparam COUNT_PHASE = 1024; + // we'll be using the period in many locations + localparam time PER1 = 20.000*ONE_NS; + localparam time PER1_1 = PER1/2; + localparam time PER1_2 = PER1 - PER1/2; + + // Declare the input clock signals + reg CLK_IN1 = 1; + + // The high bits of the sampling counters + wire [2:1] COUNT; + reg COUNTER_RESET = 0; +wire [2:1] CLK_OUT; +//Freq Check using the M & D values setting and actual Frequency generated + + + // Input clock generation + //------------------------------------ + always begin + CLK_IN1 = #PER1_1 ~CLK_IN1; + CLK_IN1 = #PER1_2 ~CLK_IN1; + end + + // Test sequence + reg [15*8-1:0] test_phase = ""; + initial begin + // Set up any display statements using time to be readable + $timeformat(-12, 2, "ps", 10); + COUNTER_RESET = 0; + test_phase = "wait lock"; + `wait_lock; + #(PER1*6); + COUNTER_RESET = 1; + #(PER1*20) + COUNTER_RESET = 0; + + test_phase = "counting"; + #(PER1*COUNT_PHASE); + + $display("SIMULATION PASSED"); + $display("SYSTEM_CLOCK_COUNTER : %0d\n",$time/PER1); + $finish; + end + + // Instantiation of the example design containing the clock + // network and sampling counters + //--------------------------------------------------------- + hdmi_clk_exdes + #( + .TCQ (TCQ) + ) dut + (// Clock in ports + .CLK_IN1 (CLK_IN1), + // Reset for logic in example design + .COUNTER_RESET (COUNTER_RESET), + .CLK_OUT (CLK_OUT), + // High bits of the counters + .COUNT (COUNT)); + +// Freq Check + +endmodule diff --git a/ipcore_dir/hdmi_clk/simulation/timing/hdmi_clk_tb.v b/ipcore_dir/hdmi_clk/simulation/timing/hdmi_clk_tb.v new file mode 100755 index 0000000..956623a --- /dev/null +++ b/ipcore_dir/hdmi_clk/simulation/timing/hdmi_clk_tb.v @@ -0,0 +1,136 @@ +// file: hdmi_clk_tb.v +// +// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +// +// This file contains confidential and proprietary information +// of Xilinx, Inc. and is protected under U.S. and +// international copyright and other intellectual property +// laws. +// +// DISCLAIMER +// This disclaimer is not a license and does not grant any +// rights to the materials distributed herewith. Except as +// otherwise provided in a valid license issued to you by +// Xilinx, and to the maximum extent permitted by applicable +// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +// (2) Xilinx shall not be liable (whether in contract or tort, +// including negligence, or under any other theory of +// liability) for any loss or damage of any kind or nature +// related to, arising under or in connection with these +// materials, including for any direct, or any indirect, +// special, incidental, or consequential loss or damage +// (including loss of data, profits, goodwill, or any type of +// loss or damage suffered as a result of any action brought +// by a third party) even if such damage or loss was +// reasonably foreseeable or Xilinx had been advised of the +// possibility of the same. +// +// CRITICAL APPLICATIONS +// Xilinx products are not designed or intended to be fail- +// safe, or for use in any application requiring fail-safe +// performance, such as life-support or safety devices or +// systems, Class III medical devices, nuclear facilities, +// applications related to the deployment of airbags, or any +// other applications that could lead to death, personal +// injury, or severe property or environmental damage +// (individually and collectively, "Critical +// Applications"). Customer assumes the sole risk and +// liability of any use of Xilinx products in Critical +// Applications, subject only to applicable laws and +// regulations governing limitations on product liability. +// +// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +// PART OF THIS FILE AT ALL TIMES. +// + +//---------------------------------------------------------------------------- +// Clocking wizard demonstration testbench +//---------------------------------------------------------------------------- +// This demonstration testbench instantiates the example design for the +// clocking wizard. Input clocks are toggled, which cause the clocking +// network to lock and the counters to increment. +//---------------------------------------------------------------------------- + +`timescale 1ps/1ps + + +module hdmi_clk_tb (); + + // Clock to Q delay of 100ps + localparam TCQ = 100; + + + // timescale is 1ps/1ps + localparam ONE_NS = 1000; + localparam PHASE_ERR_MARGIN = 100; // 100ps + // how many cycles to run + localparam COUNT_PHASE = 1024; + // we'll be using the period in many locations + localparam time PER1 = 20.000*ONE_NS; + localparam time PER1_1 = PER1/2; + localparam time PER1_2 = PER1 - PER1/2; + + // Declare the input clock signals + reg CLK_IN1 = 1; + + // The high bits of the sampling counters + wire [2:1] COUNT; + reg COUNTER_RESET = 0; +wire [2:1] CLK_OUT; +//Freq Check using the M & D values setting and actual Frequency generated + + reg [13:0] timeout_counter = 14'b00000000000000; + + // Input clock generation + //------------------------------------ + always begin + CLK_IN1 = #PER1_1 ~CLK_IN1; + CLK_IN1 = #PER1_2 ~CLK_IN1; + end + + // Test sequence + reg [15*8-1:0] test_phase = ""; + initial begin + // Set up any display statements using time to be readable + $timeformat(-12, 2, "ps", 10); + $display ("Timing checks are not valid"); + COUNTER_RESET = 0; + test_phase = "wait lock"; + #(PER1*50); + #(PER1*6); + COUNTER_RESET = 1; + #(PER1*19.5) + COUNTER_RESET = 0; + #(PER1*1) + $display ("Timing checks are valid"); + test_phase = "counting"; + #(PER1*COUNT_PHASE); + + $display("SIMULATION PASSED"); + $display("SYSTEM_CLOCK_COUNTER : %0d\n",$time/PER1); + $finish; + end + + + + // Instantiation of the example design containing the clock + // network and sampling counters + //--------------------------------------------------------- + hdmi_clk_exdes + dut + (// Clock in ports + .CLK_IN1 (CLK_IN1), + // Reset for logic in example design + .COUNTER_RESET (COUNTER_RESET), + .CLK_OUT (CLK_OUT), + // High bits of the counters + .COUNT (COUNT)); + + +// Freq Check + +endmodule diff --git a/ipcore_dir/hdmi_clk/simulation/timing/sdf_cmd_file b/ipcore_dir/hdmi_clk/simulation/timing/sdf_cmd_file new file mode 100755 index 0000000..013059f --- /dev/null +++ b/ipcore_dir/hdmi_clk/simulation/timing/sdf_cmd_file @@ -0,0 +1,2 @@ +COMPILED_SDF_FILE = "../../implement/results/routed.sdf.X", +SCOPE = hdmi_clk_tb.dut; diff --git a/ipcore_dir/hdmi_clk/simulation/timing/simcmds.tcl b/ipcore_dir/hdmi_clk/simulation/timing/simcmds.tcl new file mode 100755 index 0000000..759c927 --- /dev/null +++ b/ipcore_dir/hdmi_clk/simulation/timing/simcmds.tcl @@ -0,0 +1,9 @@ +# file: simcmds.tcl + +# create the simulation script +vcd dumpfile isim.vcd +vcd dumpvars -m /hdmi_clk_tb -l 0 +wave add / +run 50000ns +quit + diff --git a/ipcore_dir/hdmi_clk/simulation/timing/simulate_isim.sh b/ipcore_dir/hdmi_clk/simulation/timing/simulate_isim.sh new file mode 100755 index 0000000..4d0e5df --- /dev/null +++ b/ipcore_dir/hdmi_clk/simulation/timing/simulate_isim.sh @@ -0,0 +1,62 @@ +# file: simulate_isim.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# create the project +vlogcomp -work work ${XILINX}/verilog/src/glbl.v +vlogcomp -work work ../../implement/results/routed.v +vlogcomp -work work hdmi_clk_tb.v + +# compile the project +fuse work.hdmi_clk_tb work.glbl -L secureip -L simprims_ver -o hdmi_clk_isim.exe + +# run the simulation script +./hdmi_clk_isim.exe -tclbatch simcmds.tcl -sdfmax /hdmi_clk_tb/dut=../../implement/results/routed.sdf + +# run the simulation script +#./hdmi_clk_isim.exe -gui -tclbatch simcmds.tcl diff --git a/ipcore_dir/hdmi_clk/simulation/timing/simulate_mti.bat b/ipcore_dir/hdmi_clk/simulation/timing/simulate_mti.bat new file mode 100755 index 0000000..67a386c --- /dev/null +++ b/ipcore_dir/hdmi_clk/simulation/timing/simulate_mti.bat @@ -0,0 +1,59 @@ +REM file: simulate_mti.bat +REM +REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +REM +REM This file contains confidential and proprietary information +REM of Xilinx, Inc. and is protected under U.S. and +REM international copyright and other intellectual property +REM laws. +REM +REM DISCLAIMER +REM This disclaimer is not a license and does not grant any +REM rights to the materials distributed herewith. Except as +REM otherwise provided in a valid license issued to you by +REM Xilinx, and to the maximum extent permitted by applicable +REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +REM (2) Xilinx shall not be liable (whether in contract or tort, +REM including negligence, or under any other theory of +REM liability) for any loss or damage of any kind or nature +REM related to, arising under or in connection with these +REM materials, including for any direct, or any indirect, +REM special, incidental, or consequential loss or damage +REM (including loss of data, profits, goodwill, or any type of +REM loss or damage suffered as a result of any action brought +REM by a third party) even if such damage or loss was +REM reasonably foreseeable or Xilinx had been advised of the +REM possibility of the same. +REM +REM CRITICAL APPLICATIONS +REM Xilinx products are not designed or intended to be fail- +REM safe, or for use in any application requiring fail-safe +REM performance, such as life-support or safety devices or +REM systems, Class III medical devices, nuclear facilities, +REM applications related to the deployment of airbags, or any +REM other applications that could lead to death, personal +REM injury, or severe property or environmental damage +REM (individually and collectively, "Critical +REM Applications"). Customer assumes the sole risk and +REM liability of any use of Xilinx products in Critical +REM Applications, subject only to applicable laws and +REM regulations governing limitations on product liability. +REM +REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +REM PART OF THIS FILE AT ALL TIMES. +REM +# set up the working directory +set work work +vlib work + +REM compile all of the files +vlog -work work %XILINX%\verilog\src\glbl.v +vlog -work work ..\..\implement\results\routed.v +vlog -work work hdmi_clk_tb.v + +REM run the simulation +vsim -c -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax hdmi_clk_tb\dut=..\..\implement\results\routed.sdf +no_notifier work.hdmi_clk_tb work.glbl diff --git a/ipcore_dir/hdmi_clk/simulation/timing/simulate_mti.do b/ipcore_dir/hdmi_clk/simulation/timing/simulate_mti.do new file mode 100755 index 0000000..a4d2946 --- /dev/null +++ b/ipcore_dir/hdmi_clk/simulation/timing/simulate_mti.do @@ -0,0 +1,65 @@ +# file: simulate_mti.do +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# set up the working directory +set work work +vlib work + +# compile all of the files +vlog -work work $env(XILINX)/verilog/src/glbl.v +vlog -work work ../../implement/results/routed.v +vlog -work work hdmi_clk_tb.v + +# run the simulation +vsim -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax hdmi_clk_tb/dut=../../implement/results/routed.sdf +no_notifier work.hdmi_clk_tb work.glbl +#do wave.do +#log -r /* +run 50000ns + + diff --git a/ipcore_dir/hdmi_clk/simulation/timing/simulate_mti.sh b/ipcore_dir/hdmi_clk/simulation/timing/simulate_mti.sh new file mode 100755 index 0000000..f5ac4e9 --- /dev/null +++ b/ipcore_dir/hdmi_clk/simulation/timing/simulate_mti.sh @@ -0,0 +1,61 @@ +#/bin/sh +# file: simulate_mti.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# set up the working directory +set work work +vlib work + +# compile all of the files +vlog -work work $XILINX/verilog/src/glbl.v +vlog -work work ../../implement/results/routed.v +vlog -work work hdmi_clk_tb.v + +# run the simulation +vsim -c -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax hdmi_clk_tb/dut=../../implement/results/routed.sdf +no_notifier work.hdmi_clk_tb work.glbl diff --git a/ipcore_dir/hdmi_clk/simulation/timing/simulate_ncsim.sh b/ipcore_dir/hdmi_clk/simulation/timing/simulate_ncsim.sh new file mode 100755 index 0000000..894b56f --- /dev/null +++ b/ipcore_dir/hdmi_clk/simulation/timing/simulate_ncsim.sh @@ -0,0 +1,64 @@ +#!/bin/sh +# file: simulate_ncsim.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# set up the working directory +mkdir work + +# compile all of the files +ncvlog -work work ${XILINX}/verilog/src/glbl.v +ncvlog -work work ../../implement/results/routed.v +ncvlog -work work hdmi_clk_tb.v + +# elaborate and run the simulation +ncsdfc ../../implement/results/routed.sdf + +ncelab -work work -access +wc -pulse_r 10 -nonotifier work.hdmi_clk_tb work.glbl -sdf_cmd_file sdf_cmd_file +ncsim -input "@database -open -shm nc; probe -create -database nc -all -depth all; run 50000ns; exit" work.hdmi_clk_tb + diff --git a/ipcore_dir/hdmi_clk/simulation/timing/simulate_vcs.sh b/ipcore_dir/hdmi_clk/simulation/timing/simulate_vcs.sh new file mode 100755 index 0000000..d752b65 --- /dev/null +++ b/ipcore_dir/hdmi_clk/simulation/timing/simulate_vcs.sh @@ -0,0 +1,72 @@ +#!/bin/sh +# file: simulate_vcs.sh +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +# remove old files +rm -rf simv* csrc DVEfiles AN.DB + +# compile all of the files +# Note that -sverilog is not strictly required- You can +# remove the -sverilog if you change the type of the +# localparam for the periods in the testbench file to +# [63:0] from time + vlogan -sverilog \ + hdmi_clk_tb.v \ + ../../implement/results/routed.v + + +# prepare the simulation +vcs -sdf max:hdmi_clk_exdes:../../implement/results/routed.sdf +v2k -y $XILINX/verilog/src/simprims \ + +libext+.v -debug hdmi_clk_tb.v ../../implement/results/routed.v + +# run the simulation +./simv -ucli -i ucli_commands.key + +# launch the viewer +#dve -vpd vcdplus.vpd -session vcs_session.tcl diff --git a/ipcore_dir/hdmi_clk/simulation/timing/ucli_commands.key b/ipcore_dir/hdmi_clk/simulation/timing/ucli_commands.key new file mode 100755 index 0000000..0548d17 --- /dev/null +++ b/ipcore_dir/hdmi_clk/simulation/timing/ucli_commands.key @@ -0,0 +1,5 @@ + +call {$vcdpluson} +run 50000ns +call {$vcdplusclose} +quit diff --git a/ipcore_dir/hdmi_clk/simulation/timing/vcs_session.tcl b/ipcore_dir/hdmi_clk/simulation/timing/vcs_session.tcl new file mode 100755 index 0000000..1438f6b --- /dev/null +++ b/ipcore_dir/hdmi_clk/simulation/timing/vcs_session.tcl @@ -0,0 +1 @@ +gui_open_window Wave diff --git a/ipcore_dir/hdmi_clk/simulation/timing/wave.do b/ipcore_dir/hdmi_clk/simulation/timing/wave.do new file mode 100755 index 0000000..30e0e04 --- /dev/null +++ b/ipcore_dir/hdmi_clk/simulation/timing/wave.do @@ -0,0 +1,70 @@ +# file: wave.do +# +# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# + +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /hdmi_clk_tb/CLK_IN1 +add wave -noupdate /hdmi_clk_tb/COUNT +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {3223025 ps} 0} +configure wave -namecolwidth 238 +configure wave -valuecolwidth 107 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {74848022 ps} diff --git a/ipcore_dir/hdmi_clk_flist.txt b/ipcore_dir/hdmi_clk_flist.txt new file mode 100644 index 0000000..41c361f --- /dev/null +++ b/ipcore_dir/hdmi_clk_flist.txt @@ -0,0 +1,55 @@ +# Output products list for +_xmsgs/pn_parser.xmsgs +hdmi_clk/clk_wiz_v3_6_readme.txt +hdmi_clk/doc/clk_wiz_v3_6_readme.txt +hdmi_clk/doc/clk_wiz_v3_6_vinfo.html +hdmi_clk/doc/pg065_clk_wiz.pdf +hdmi_clk/example_design/hdmi_clk_exdes.ucf +hdmi_clk/example_design/hdmi_clk_exdes.v +hdmi_clk/example_design/hdmi_clk_exdes.xdc +hdmi_clk/implement/implement.bat +hdmi_clk/implement/implement.sh +hdmi_clk/implement/planAhead_ise.bat +hdmi_clk/implement/planAhead_ise.sh +hdmi_clk/implement/planAhead_ise.tcl +hdmi_clk/implement/planAhead_rdn.bat +hdmi_clk/implement/planAhead_rdn.sh +hdmi_clk/implement/planAhead_rdn.tcl +hdmi_clk/implement/xst.prj +hdmi_clk/implement/xst.scr +hdmi_clk/simulation/functional/simcmds.tcl +hdmi_clk/simulation/functional/simulate_isim.bat +hdmi_clk/simulation/functional/simulate_isim.sh +hdmi_clk/simulation/functional/simulate_mti.bat +hdmi_clk/simulation/functional/simulate_mti.do +hdmi_clk/simulation/functional/simulate_mti.sh +hdmi_clk/simulation/functional/simulate_ncsim.sh +hdmi_clk/simulation/functional/simulate_vcs.sh +hdmi_clk/simulation/functional/ucli_commands.key +hdmi_clk/simulation/functional/vcs_session.tcl +hdmi_clk/simulation/functional/wave.do +hdmi_clk/simulation/functional/wave.sv +hdmi_clk/simulation/hdmi_clk_tb.v +hdmi_clk/simulation/timing/hdmi_clk_tb.v +hdmi_clk/simulation/timing/sdf_cmd_file +hdmi_clk/simulation/timing/simcmds.tcl +hdmi_clk/simulation/timing/simulate_isim.sh +hdmi_clk/simulation/timing/simulate_mti.bat +hdmi_clk/simulation/timing/simulate_mti.do +hdmi_clk/simulation/timing/simulate_mti.sh +hdmi_clk/simulation/timing/simulate_ncsim.sh +hdmi_clk/simulation/timing/simulate_vcs.sh +hdmi_clk/simulation/timing/ucli_commands.key +hdmi_clk/simulation/timing/vcs_session.tcl +hdmi_clk/simulation/timing/wave.do +hdmi_clk.asy +hdmi_clk.gise +hdmi_clk.sym +hdmi_clk.ucf +hdmi_clk.v +hdmi_clk.veo +hdmi_clk.xco +hdmi_clk.xdc +hdmi_clk.xise +hdmi_clk_flist.txt +hdmi_clk_xmdf.tcl diff --git a/ipcore_dir/hdmi_clk_xmdf.tcl b/ipcore_dir/hdmi_clk_xmdf.tcl new file mode 100755 index 0000000..06f09b0 --- /dev/null +++ b/ipcore_dir/hdmi_clk_xmdf.tcl @@ -0,0 +1,140 @@ +# The package naming convention is _xmdf +package provide hdmi_clk_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::hdmi_clk_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::hdmi_clk_xmdf::xmdfInit { instance } { +# Variable containg name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name hdmi_clk +} +# ::hdmi_clk_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::hdmi_clk_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be magically +# available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/clk_wiz_readme.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/doc/clk_wiz_ds709.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/doc/clk_wiz_gsg521.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/implement/implement.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/implement/implement.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/implement/xst.prj +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/implement/xst.scr +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/simulation/hdmi_clk_tb.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/simulation/functional/simcmds.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/simulation/functional/simulate_isim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/simulation/functional/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/simulation/functional/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/simulation/functional/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/simulation/functional/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/simulation/functional/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/simulation/functional/wave.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/simulation/functional/wave.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk.ejp +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ucf +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module hdmi_clk +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/ipcore_dir/mb_bootloop_le.elf b/ipcore_dir/mb_bootloop_le.elf new file mode 100644 index 0000000..d8ff6c4 Binary files /dev/null and b/ipcore_dir/mb_bootloop_le.elf differ diff --git a/ipcore_dir/microblaze_mcs.asy b/ipcore_dir/microblaze_mcs.asy new file mode 100644 index 0000000..90ece3a --- /dev/null +++ b/ipcore_dir/microblaze_mcs.asy @@ -0,0 +1,45 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 microblaze_mcs +RECTANGLE Normal 32 32 736 1728 +LINE Normal 0 80 32 80 +PIN 0 80 LEFT 36 +PINATTR PinName clk +PINATTR Polarity IN +LINE Normal 0 112 32 112 +PIN 0 112 LEFT 36 +PINATTR PinName reset +PINATTR Polarity IN +LINE Normal 768 656 736 656 +PIN 768 656 RIGHT 36 +PINATTR PinName gpi2_interrupt +PINATTR Polarity OUT +LINE Wide 0 304 32 304 +PIN 0 304 LEFT 36 +PINATTR PinName gpi1[7:0] +PINATTR Polarity IN +LINE Wide 0 336 32 336 +PIN 0 336 LEFT 36 +PINATTR PinName gpi2[0:0] +PINATTR Polarity IN +LINE Wide 0 368 32 368 +PIN 0 368 LEFT 36 +PINATTR PinName gpi3[1:0] +PINATTR Polarity IN +LINE Wide 768 784 736 784 +PIN 768 784 RIGHT 36 +PINATTR PinName gpo1[15:0] +PINATTR Polarity OUT +LINE Wide 768 816 736 816 +PIN 768 816 RIGHT 36 +PINATTR PinName gpo2[15:0] +PINATTR Polarity OUT +LINE Wide 768 848 736 848 +PIN 768 848 RIGHT 36 +PINATTR PinName gpo3[0:0] +PINATTR Polarity OUT +LINE Wide 768 880 736 880 +PIN 768 880 RIGHT 36 +PINATTR PinName gpo4[5:0] +PINATTR Polarity OUT + diff --git a/ipcore_dir/microblaze_mcs.bmm b/ipcore_dir/microblaze_mcs.bmm new file mode 100644 index 0000000..b71facc --- /dev/null +++ b/ipcore_dir/microblaze_mcs.bmm @@ -0,0 +1,16 @@ +ADDRESS_MAP microblaze_mcs MICROBLAZE-LE 100 + ADDRESS_SPACE lmb_bram COMBINED [0x00000000:0x00003fff] + ADDRESS_RANGE RAMB16 + BUS_BLOCK + mcs_0/U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1 [31:28] INPUT = microblaze_mcs.lmb_bram_0.mem; + mcs_0/U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1 [27:24] INPUT = microblaze_mcs.lmb_bram_1.mem; + mcs_0/U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1 [23:20] INPUT = microblaze_mcs.lmb_bram_2.mem; + mcs_0/U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1 [19:16] INPUT = microblaze_mcs.lmb_bram_3.mem; + mcs_0/U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1 [15:12] INPUT = microblaze_mcs.lmb_bram_4.mem; + mcs_0/U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1 [11:8] INPUT = microblaze_mcs.lmb_bram_5.mem; + mcs_0/U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1 [7:4] INPUT = microblaze_mcs.lmb_bram_6.mem; + mcs_0/U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1 [3:0] INPUT = microblaze_mcs.lmb_bram_7.mem; + END_BUS_BLOCK; + END_ADDRESS_RANGE; + END_ADDRESS_SPACE; +END_ADDRESS_MAP; diff --git a/ipcore_dir/microblaze_mcs.gise b/ipcore_dir/microblaze_mcs.gise new file mode 100644 index 0000000..c088017 --- /dev/null +++ b/ipcore_dir/microblaze_mcs.gise @@ -0,0 +1,49 @@ + + + + + + + + + + + + + + + + + + + + 11.1 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/ipcore_dir/microblaze_mcs.ncf b/ipcore_dir/microblaze_mcs.ncf new file mode 100644 index 0000000..e69de29 diff --git a/ipcore_dir/microblaze_mcs.ngc b/ipcore_dir/microblaze_mcs.ngc new file mode 100644 index 0000000..9c33565 --- /dev/null +++ b/ipcore_dir/microblaze_mcs.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$ea144<,[o}e~g`n;"2*73>(-80!?01234<=789:;<=>?4193456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?01224>6789:;<=>?0123447739:;<=>?01:34567811;<=>?01324>6789:;<?2123456788:0<=>?012;456789:;46>?0123057739:;<=>;0127456388:0<=>>0122456699::86>?05:84563<9:;86>?11:8457799:;86>?4133?56389:;<=>?01234==78=:?<9>>0:230F6789KJMLONA@:845GB89KJ86>?F133?56ANOLMJ=>?81:3<46<88:;98>?1033106702::<>?>32684767991;?>>?322EBD@ANHL97=:7;1634567811;8=>>40224>6DK9:HO=>?A123E57<9;1:<<>41133557799;;99??1192557698;>98;:54724>7698;:=14761032=8:0=9>;052741638=:?=95>4;KMTPR=IMNYM1?<:1<20>732@D[YY4NDEPA845=8780=8??;072B436N=??J9;;F0685321?699=1::7AZTQWW>BVH:5;>6=0>1:39MKVR\3M[E?2?:1<26>7=G\^[YY4HPN1?4?6992897?>;;323446<:9:;<=>?212365679919>?ONA@CFA7GFIHK97?9>1:09MKVR\3M[E>2>:1<24>4F:O8J>K6N8G:B<:H=M>L552@D[YY4KI@>05?699;18>7GAPTV9@LD;;80;2<=4338LQQVR\3NDM1=>:1<27>552F__\XZ5DN@?74<7681?46:?0123452<<=:?==5;4467012BMOONIHK;;56E01=3>=>?7987E0380?OIX\^1HD^N<283:47<<3CE\XZ5DHRA86<768:087GAPTV9S@G;;3:5==5;:HLSQQ2:69KPRW]]0OC]O33;2=57=32F__\XZ5DNRA86<76=1>=9>>0:770123<=>?89:;4533?032=8;;=8;:5032546<=OLM=>=<0123456792<:<68?7@C53=67892345?=;78LQQVR\3M[C92::19472501=0:;8:<69:76DGBC4789K345??;6:;3=1000325;896394B46;K9I2@234567922?75>?099;4=6781:?75:=1028<21?0>=3:;89674555=?0O23456789:;<=>69221EC^ZT;ESM4:0294974>=;@21?D753H897L==;@61?D>?3H2J4LON8028EDGBILKNMLO=A3C10>GFJH20MLLNA@:B0>GFKH20MOO7A9C;<>GCL[K7<374AEFQE977601JHI\N<03==>GCL[K7=?0m;@FGVD:6;3:556OKDSC?568?3HNO^L2>>99B@ATF4;437LJKR@>0:==FLMXJ0907;@FGVD:2611JHI\N<7<;?DBCZH6<255NDEPB8=8?3HNO^L26>99B@ATE49427LJKRC>24;?>89B@ATE4885n6OKDS@?56<7601JHI\M<01=<>GCL[H7=364AEFQF94902KOH_L33?:8EABUJ5>546OKDS@?1;>GCL[H753=4AMW:?DJRWKcS<74AMW\FlrX:81I>6L;4:@BED773KLIJ=>MFCDAB567811IY^QFNGM27>D~|lUOcxlceu]Kekbkm81H>6MN119@G03DK9:HO8>LC421?FC6:2ICINEPLHAFJVCX\PZN>6MF3:AOO1=DDB:=7NBD1925?FJL:>:>7NBD2Y:8GIM5P82;96MCK827?FJLI<1H@FO>7:AOOD7C:>1H@FO>D558GIMF9M2?7NBDB49@HND6=2IGGN?:;BNH@437NBDFY:8GIMAP82;56M@MLKWP@B6<2ID^HQHEOGQEQOHFVCEJB94CSGBP@B43Jf`=6J=;E5`?AGSIV]BHYFPAb9GEQGX_@N_DRL=;EK5?AOF494<7IGN<02=3>BNI5;:2:5KI@>26;108;EKB8429?2NBM1?:>69GMD:6>7=0HDO316<4?AOF4825;6JFA=3::3=CAH6:2:5KI@>14;1<08;EKB8749?2NBM1<<>69GMD:5<7=0HDO324<4?AOF4;<5;6JFA=04:2=CAH694394DHC?6<813MCJ0?08;EKB866912NBM1=>:1<4?AOF4:;5:6JFA=1=2>BNI5>5:6JFA=7=2>BNI5<5:6JFA=5=2>BNI525:6JFA=;=2>BNJ5:5;6JFB=33:2=CAK6:=394DH@?57803MCI0<=17:FJF9736>1OEO2>5?58@LD;9?4<7IGM<05=3>BNJ5;32:5KIC>2=;01OEO2=3?58@LD;:=4<7IGM<37=3>BNJ58=2:5KIC>13;1508;EKA87?9>2NBN1<17:FJF957601OEO2<1;2=3>BNJ59:2;5KIC>0:3=CAK6?2;5KIC>6:3=CAK6=2;5KIC>4:3=CAK632;5KIC>::2=CAYK7<394DHRB84803MC[M1<19:FJTD:4294<7IG_A=1=3>BNXK6;2:5KIQ@?5;1BHI5;:2:5KO@>26;108;EMB8429?2NDM1?:>69GKD:6>7=0HBO316<4?AIF4825;6J@A=3::3=CGH6:2:5KO@>14;1<08;EMB8749?2NDM1<<>69GKD:5<7=0HBO324<4?AIF4;<5;6J@A=04:2=CGH694394DNC?6<813MEJ0?08;EMB866912NDM1=>:1<4?AIF4:;5:6J@A=1=2>BHI5>5:6J@A=7=2>BHI5<5:6J@A=5=2>BHI525:6J@A=;=3>BHIVXNK;5KOC>3:2=CGK6:<394DN@?54803MEI0<<17:FLF9746>1OCO2>4?58@JD;9<4<7IAM<04=3>BHJ5;<2:5KOC>2<;117:FLF9466>1OCO2=2?58@JD;::4<7IAM<36=3>BHJ58>2:5KOC>12;1:08;EMA87>9?2NDN1<6>79GKG:56>1OCO2<0?;8@JD;;80;2:5KOC>05;017:FLTD:66>1OC]O32?;8@JVF4:0;2:5KOQC?7;189GKUD;;3:5;6J@PC>0:6=CXF?0H]\N049GTWG6=2N[^L<:;ERQE63;==5JAG@FE@G38<;?<9>=;DA7?@@FI=1NJH>7;DDFE1338:1NBL=4EO@a?@HXZZ^YM@QNb:GM[WUSZHGTN95I07424>@7N9?><=H?F16701>@0:2L386H701:8B=@7NKLM86HN0@33?CDANOLMJKHIFGDEB1=AKHK:<6HLCBD345CBKJKJ<=<4FE18BAC?3ONMJL67829E@W24FG23BC67KHIJOLMN4:DE442F0H2J4L6>0:DEEDG5IHLMMLHIA@:8BCDAIHKJ86HIE@:8BCCFK9OJ46HIECDEBC>=>0:DEBCCBM9ONI=KJE1:8BC@ANO=M46HIFGDEB2>@ANOLMJK>7892BED773OLMJKHIF321474588:0JKHIFGDEB@@ANOLM==5IFGDEBC@ANOLMJHHn;GKW[Hic}eo:7J=4GOF4?BVN95:5;6I_I0>2:2=@X@;7>394GQK286803NZB=1:17:ESM4:26>1L\D?36?;8CUO64>0;2:5HPH3?3;c69DTL4;87o0K]G=_Hlwawtrx}=0K]G<<1<:?BVN;5;1<394GQK0848b3NZB?RGatdpqqurd3NZBS@akumg\5f=@X@UFci{ce^0`?BVNWDeoyakP3b9DTLYJgmgiR:8;FRL596902M[C<2>0?:8CUI648;546I_O0>26;><0794;>1L\B?32?58CUI64:4<7J^@1=6=3>AWG86>2:5HPN3?2;19?2M[C<26>69DTJ4;8720K]A=<02=<>AWG;6:=364GQM1844902M[C?2>3?:8CUI548>5m6I_O3>21?6902M[C?2>5?58CUI5484<7J^@2=0=3>AWG;682:5HPN0?0;169DTJ4;07=0K]A=<8<:?BVH;5:1<394GQM085803NZD81>17:ESK1:66>1L\B:32?58CUI34:4<7J^@4=6==>AWG=6>6=08;FRL0939k2M[CRC`dtnf[4eAWGVGdhxbj_238M7=N8;1B=?5F239J77=N<;1B955FNHVS[56?3@DBX]Q?199JJLRWW9837D@FTQ]37==NF@^[S=:7;HLJPUY7=11BBDZ__14;?LHN\YU;;45FNHVPPDRB?2CEEYQ?069JJLRX88=0ECG[_104?LHN\V:8;6GAIU]302=NF@^T<894IOKW[5003@DBXR>87:KMMQY70>1BBDZP0858MKOSW9K<7D@FT^2A3>OIA]U;O:5FNHV\4A1069JJLRX98=0ECG[_004?LHN\V;8;6GAIU]202=NF@^T=894IOKW[4003@DBXR?87:KMMQY60>1BBDZP1858MKOSW8K<7D@FT^3A3>OIA]U:O:5FNHV\5A1894IOKW[7003@DBXR<87:KMMQY50>1BBDZP2858MKOSW;K<7D@FT^0A3>OIA]U9O:5FNHV\6A11BBDZP3858MKOSW:K<7D@FT^1A3>OIA]U8O:5FNHV\7A1K8;HLJPZ5A>2CEEYQN6:KMMQYE12CEEYQIIMG;?LHSKVCY]>5FNWf8Mjkhl|fnSna}e39OM7=KG?1GCLJJD79OKFMBL>1GCJGLAM68HPR6<2F^X?:4LTV00>JR\=>0@XZ:4:NVP301F__Q]ef`8IVTXZlmTNdz7;LWOPZGIL>1FYUMV_M78IP^A=<1FYUH85:OV\C>d3DkacXjrrkljd=JajxdNaoueg9NmfthJekqiRM`rda8Ilhhz_oydaa4:L24520368J464<2D:<9:4N0260>H68?>0B<>84:L24=21168J476<2D:=?:4N0300>H69=>0B1968J47>;2D:>95A1327?K759=1E=?<;;O3171=I9;>?7C?=529M515H2>:1E95=4N720?K05;2D=8>5A6718J3>43G=;?6@8229M315<87C973:L;46=I0;90B5:<;O:57>H>8:1E5?=4N860?K?1;2D24:5AEUULVN24:MsawgilVYn`hm{_Ckw[6733Fzn~l`k_RgoafrXJ`~T8<:4OqgqekbX[lfnoyQMiu]651=HxlxjbiQ\emg`pZDn|V<:86Aescm`ZUbdliSOg{_638Tf=W&;::?<>>3^N7?UGU\?1[ORLft89SGZKhl|fnm6^FN^@VWLB_j2ZBBRLZSOCNAd=WA]UFci{ce89SOZNK]]DF:6^\DNLFb>VumOooeQMugdfv4=V92X37_OB33Z2@d=UIDH::OYJRd9QEHYJgmgiRZ`p`9QADBXN@XXX;5]EFAFE3=UMNINN95]SUC7?WUSJ>1Y_YZVPDg8VVRXX[CD^DZV_@g8VVRXX[CD^DZV_Cf8V`an{}oySKgce038V`an{}oySKgce^@jp0=Umzom6\jstnw[Hsm2Xnxb{_Lw{[Gos92Y:=6]GRDE\A]RUIJ^TBJMj;RJQABYJAGUXEWK>3:QJIZEHDECXEB@PCIG@Od=TADUOI[GLE79PKPTDM11X\L\[AO40?VVH>2YY@<8J7:QQRDJXI>1X^[OC_C48WQGS]Z>0_T@Lf:QkmcrXDfmboRLft008Wmoa|VFdkdmPMnfvh`2<\[_N86ZVPDc8Q5)KEKUYy?n;T2,`ike'MH~RA}ioe-V`u;87;:n6[?/ennf*BE}zUD~d`h.Sgp846998h0Y=!kll`,@GstWFxbbj ]er>25;76j2_;#ibbb.FAqvYHz`dl"_k|<00=54d<]9%o``l DCwp[Jtnfn$Yi~2>3?32f>S7'mffn"JMur]Lvlh`&[ox0<:110`8Q5)cddh$HO{|_Npjjb(Umz6:93?>b:W3+ajjj&NIy~Q@rhld*Wct48<5=998h0Y=!kll`,@GstWFxbbj ]er>2=;76i2_;#ibbb.FAqvYHz`dl"_k|<0<25g=R8&ngao!KBtq\Kwoio'Xn103a?P6(legi#ILzs^Mqmka)Zly7><0>1c9V4*bkek%ONx}POskmc+Tb{5892 dmoa+ADr{VEyeci!Rdq?60869k1^<"jcmc-GFpuXG{cek#\js=05:47e3\:$hacm/E@vwZIuagm%^h}326<25g=R8&ngao!KBtq\Kwoio'Xn1<7>03a?P6(legi#ILzs^Mqmka)Zly7>40>1`9V4*bkek%ONx}POskmc+Tb{585=03b?P6(legi#ILzs^Mqmka)Zly7:3?>a:W3+ajjj&NIy~Q@rhld*Wct4>4:=l5Z0.foig)CJ|yTCgag/Pfw9>998k0Y=!kll`,@GstWFxbbj ]er>::47>3\:$hacm/E@vwZIuagm%x`~Pos3g?P6(legi#ILzs^Mqmka)|dzTcQ\W133?P6(legi#]A]_GD\M40<]9%o``l Rdcg}ZIUagm%eS7'mffnRBBB^PfeaBE}z6:=3?7;T2,`ikeWEGIS_kndE@vw9756820Y=!kll`\HHDXZlkoHO{|<01=5==R8&ngaoQCMC]QadbCJ|y7=90>8:W3+ajjjVFFNR\jaeFAqv:6=7;37X> dmoa[IKEW[ojhILzs=35:4><]9%o``lPLL@\V`gcLKx0<91199V4*bkekUGAOQ]e`fGFpu;914:46[?/ennfZJJJVXnmiJMur>2=;703\:$hacm_MOA[WcflMH~1?1199V4*bkekUGAOQ]e`fGFpu;:94:46[?/ennfZJJJVXnmiJMur>15;7?3\:$hacm_MOA[WcflMH~1<=>0:8Q5)cddhT@@LPRdcg@Gst4;95=55Z0.foigYKEKUYiljKBtq?618602_;#ibbb^NNFZTbimNIy~2=5?3;?P6(legiSACM_Sgb`ADr{58=2<64U1-ghhdXDDHT^hokDCwp8719911^<"jcmc]OIGYUmhnONx}329<2<>S7'mffnRBBB^PfeaBE}z6953?8;T2,`ikeWEGIS_kndE@vw949911^<"jcmc]OIGYUmhnONx}331<2<>S7'mffnRBBB^PfeaBE}z68=3?8;T2,`ikeWEGIS_kndE@vw9599>1^<"jcmc]OIGYUmhnONx}34?34?P6(legiSACM_Sgb`ADr{5?5=:5Z0.foigYKEKUYiljKBtq?2;703\:$hacm_MOA[WcflMH~191169V4*bkekUGAOQ]e`fGFpu;07;<7X> dmoa[IKEW[ojhILzs=;=54=R8&ngaoQCMC]Qadb~n2_;#ibbb^NNFZTt|8;0Y=!kll`\IZGE}z6;2<<4U1-ghhdXEVKIy~2>0?31?P6(legiS@QNBtq?5486:2_;#ibbb^O\EGst4885=?5Z0.foigYJWHH~1?<>008Q5)cddhTAROMur>20;753\:$hacm_L]BFpu;9<4:>6[?/ennfZKXIKx0<81139V4*bkekUFSLLzs=34:44<]9%o``lPM^CAqv:607;97X> dmoa[HYFJ|y7=40>1:W3+ajjjVGTMO{|<0<26>S7'mffnRCPACwp87699;1^<"jcmc]N[DDr{58:2<<4U1-ghhdXEVKIy~2=2?31?P6(legiS@QNBtq?6686:2_;#ibbb^O\EGst4;>5=?5Z0.foigYJWHH~1<:>008Q5)cddhTAROMur>12;753\:$hacm_L]BFpu;:>4:>6[?/ennfZKXIKx0?61139V4*bkekUFSLLzs=0::47<]9%o``lPM^CAqv:568;0Y=!kll`\IZGE}z682038Q5)cddhTAROMur>6:47<]9%o``lPM^CAqv:168;0Y=!kll`\IZGE}z6<2038Q5)cddhTAROMur>::42<]9%o``lPM^Cg`wUszfhnj6[?/ennfZKXJL6;2k5Z0.foigYJWKO7=3h4U1-ghhdXEVHN0?0i;T2,`ikeWDUII1=1109V4*bkekUFSILzs=2=57=R8&ngaoQB_E@vw9776880Y=!kll`\IZBE}z6:=3?=;T2,`ikeWDUONx}313<26>S7'mffnRCPDCwp84599;1^<"jcmc]N[ADr{5;?2<<4U1-ghhdXEVNIy~2>5?31?P6(legiS@QKBtq?5386:2_;#ibbb^O\@Gst48=5=?5Z0.foigYJWMH~1?7>008Q5)cddhTARJMur>2=;763\:$hacm_L]GFpu;97;97X> dmoa[HYCJ|y7>=0>2:W3+ajjjVGTHO{|<33=57=R8&ngaoQB_E@vw9456880Y=!kll`\IZBE}z69?3?>;T2,`ikeWDUONx}32?32?P6(legiS@QKBtq?7;763\:$hacm_L]GFpu;<7;:7X> dmoa[HYCJ|y793?>;T2,`ikeWDUONx}36?32?P6(legiS@QKBtq?3;763\:$hacm_L]GFpu;07;:7X> dmoa[HYCJ|y753?:;T2,`ikeWDU\~dzjSuplf`743\:$hacm_Rn\@Gst4:85=>5Z0.foigYTdVNIy~2<3?30?P6(legiS^bPDCwp86299:1^<"jcmc]PhZBE}z6893?<;T2,`ikeWZfTHO{|<24=56=R8&ngaoQ\l^FAqv:4?7;87X> dmoa[VjXLKx0>61129V4*bkekUX`RJMur>0=;743\:$hacm_Rn\@Gst4=:5=>5Z0.foigYTdVNIy~2;1?30?P6(legiS^bPDCwp81499:1^<"jcmc]PhZBE}z6??3?<;T2,`ikeWZfTHO{|<56=56=R8&ngaoQ\l^FAqv:3=7;87X> dmoa[VjXLKx0981129V4*bkekUX`RJMur>73;743\:$hacm_Rn\@Gst4=25=>5Z0.foigYTdVNIy~2;9?30?P6(legiS^bPDCwp80699:1^<"jcmc]PhZBE}z6>>3?<;T2,`ikeWZfTHO{|<41=56=R8&ngaoQ\l^FAqv:2<7;87X> dmoa[VjXLKx08;1129V4*bkekUX`R\jae{?4;743\:$hacm_Rn\V`gcq5;5=95Z0.foigYdf}fy#^bPRe{2=>S7'mffnRmatmp,WiYUmhnrSd?>4:W3+ajjjViexa| ll`\ev5c3\:$hacm_blwhw)kekUfyuQF/nlf[ike&yyn`hm{_lcpoZjjj&IX0=0>_HLU[57?3\:$hacm_blwhw)kekUxiaklt0`8Q5)cddhToczcr.nnfZqb4947<3?>b:W3+ajjjViexa| ll`\s`:665;5=0:9599820Y=!kll`\tjtsWKXJARJfn=2=5<=R8&ngaoQosv\FWGJWMce0<>1189V4*bkekU{czPBSCN[Aoi48;5=45Z0.foigYwg{~TN_OB_Ekm8449901^<"jcmc]skwrXJ[KFSIga<01=5<=R8&ngaoQosv\FWGJWMce0<:1189V4*bkekU{czPBSCN[Aoi48?5=45Z0.foigYwg{~TN_OB_Ekm8409901^<"jcmc]skwrXJ[KFSIga<05=5<=R8&ngaoQosv\FWGJWMce0<61189V4*bkekU{czPBSCN[Aoi4835=55Z0.foigYwg{~TN_OB_Ekm848612_;#ibbb^rlvqYEZHGTHd`321<2=>S7'mffnR~`ru]AVDKXL`d7><0>9:W3+ajjjVzd~yQMR@O\@lh;:;4:56[?/ennfZvhz}UI^LCPDhl?668612_;#ibbb^rlvqYEZHGTHd`325<2=>S7'mffnR~`ru]AVDKXL`d7>80>9:W3+ajjjVzd~yQMR@O\@lh;:?4:56[?/ennfZvhz}UI^LCPDhl?628612_;#ibbb^rlvqYEZHGTHd`329<2=>S7'mffnR~`ru]AVDKXL`d7>40>8:W3+ajjjVzd~yQMR@O\@lh;:7;27X> dmoa[uiu|VHYM@QKio>04;7>3\:$hacm_qmqpZDUIDUOec2<1?3;?P6(legiS}a}t^@QEHYCag682<64U1-ghhdXxfxSO\NM^Fjj929911^<"jcmc]skwrXJ[KFSIga<4<2<>S7'mffnR~`ru]AVDKXL`d7:3?7;T2,`ikeWyeyxRL]AL]Gmk:06820Y=!kll`\tjtsWKXJARJfn=:=5==R8&ngaoQosv\FWGJWMce040>8:W3+ajjjVzd~yQMR@O\S@H;87;37X> dmoa[uiu|VHYM@QXEO>2:4><]9%o``lPpnpw[GTFEV]NB1<1199V4*bkekU{czPBSCN[RCI4:4:o6[?/gkop`tXzlynx#|jsdv\r`e;87;h7X> fhnwawYumzo"k|eu]uaf:668i0Y=!iimvfvZtb{l~%~h}jt^tfg949;m1^<"hflugq[wctm}$yi~k{_wg`_7[Xn`fiQ}ergw*wctm}U}inU>]^MQ[7Yh;l1^<"hflugq[wctm}$yi~k{_wg`_7[Xn`fiQ}ergw*wctm}U}inU>]^MQ[7Yh98h0Y=!iimvfvZtb{l~%~h}jt^tfgZ66j2_;#kgctdp\v`ub|'xnhzPvda\54d<]9%meazjr^pfw`r)zlynxRxjc^024>S7'`ffn"^@R^DE[L`<]9%b``lPLL@\Vvr6:2_;#dbbb^O\EGst4825=?5Z0.koigYJWHH~1?6>008Q5)nddhTAROMur>14;753\:$eacm_L]BFpu;:84:>6[?/hnnfZKXIKx0?<1139V4*okekUFSLLzs=00:44<]9%b``lPM^CAqv:5<7;97X> imoa[HYFJ|y7>80>2:W3+ljjjVGTMO{|<34=57=R8&cgaoQB_@@vw9406880Y=!fll`\IZGE}z6943?=;T2,mikeWDUJNx}328<20>S7'`ffnRCPAefqWqthjl;;7X> imoa[VjXZlkou<:4U1-jhhdXkg~g~"]c_Sfz5==R8&cgaoQlnunq+VjXZmsTecx>f:W3+ljjjViexa| Sm]Q`|Ynf;TECXP00;8Q5)nddhToczcr.Qo[WcflpUb=<:4U1-jhhdXkg~g~"bbb^cp5==R8&cgaoQlnunq+ikeWzoginz>8:W3+ljjjVzd~yQMR@O\@lh;87;27X> imoa[uiu|VHYM@QKio>24;7>3\:$eacm_qmqpZDUIDUOec2>1?3:?P6(aegiS}a}t^@QEHYCag6:>3?6;T2,mikeWyeyxRL]AL]Gmk:6;7;27X> imoa[uiu|VHYM@QKio>20;7>3\:$eacm_qmqpZDUIDUOec2>5?3:?P6(aegiS}a}t^@QEHYCag6::3?6;T2,mikeWyeyxRL]AL]Gmk:6?7;27X> imoa[uiu|VHYM@QKio>2<;7>3\:$eacm_qmqpZDUIDUOec2>9?3;?P6(aegiS}a}t^@QEHYCag6:2<74U1-jhhdXxfxSO\NM^Fjj9476830Y=!fll`\tjtsWKXJARJfn=02:4?<]9%b``lPpnpw[GTFEVNbb1<=>0;8Q5)nddhT|b|{_CPBIZBnf5882<74U1-jhhdXxfxSO\NM^Fjj9436830Y=!fll`\tjtsWKXJARJfn=06:4?<]9%b``lPpnpw[GTFEVNbb1<9>0;8Q5)nddhT|b|{_CPBIZBnf58<2<74U1-jhhdXxfxSO\NM^Fjj94?6830Y=!fll`\tjtsWKXJARJfn=0::4><]9%b``lPpnpw[GTFEVNbb1<1189V4*okekU{czPBSCN[Aoi4::5=45Z0.koigYwg{~TN_OB_Ekm8679911^<"gcmc]skwrXJ[KFSIga<2<2<>S7'`ffnR~`ru]AVDKXL`d783?7;T2,mikeWyeyxRL]AL]Gmk:26820Y=!fll`\tjtsWKXJARJfn=4=5==R8&cgaoQosv\FWGJWMce0:0>8:W3+ljjjVzd~yQMR@O\@lh;07;37X> imoa[uiu|VHYM@QKio>::67<]9%bc`akumg\4*AILV>8>?Q`_moa[wc`W~xbxhQNNE]076Yh9:80Y=!folmgqicX8&MEHR:<23]l[ikeW{olSz|ftd]BJAY4;:Ud=<=>;T2,mjkhl|fnS=!HNE]7774XgVffnR|jg^uqmqcXIGNT?9;Po011?P6(afgdhxbj_1-DJAY3;;8TcRbbb^pfcZqua}oTMCJP357\k475n2_;#daboewoaZ6(AFGdhxbj_BmqaZO6'NZBSD? GQK\Mk:76;l0Y=!folmgqicX8&CDAbjzld]@kwcXA8%L\DQF1.ESMZOi4849j6[?/hmnkaskmV:$EBC`dtnf[FiumVC:#J^F_H3,CUOXAg692?h4U1-jkhic}eoT<"G@Mnfvh`YDg{oTE0=f:W3+lijgmgiR> INOl`pjbWJeyiRG>/FRJ[L7(OYCTEc2;>3d8Q5)ngdeoyakP0.KLIjbrdlUHckPI0-DTLYN9&M[ERGa<4<1b>S7'`efci{ce^2,MJKhl|fnSNa}e^K2+BVNW@;$K]GPIo>5:7`<]9%bc`akumg\4*OHEfn~`hQLosg\M4)@X@UB="I_I^Km8285m2_;#daboewoaZ6(AFGdhxbj_BmqaZO6'NZBSD? GQK\MkY7:l1^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&M[ERG>/FRJ[LhX9;o0Y=!folmgqicX8&CDAbjzld]@kwcXA8%L\DQF1.ESMZOiW;8n7X> inol`pjbW9%BC@akumg\GjtbW@;$K]GPI0-DTLYNfV99i6[?/hmnkaskmV:$EBC`dtnf[FiumVC:#J^F_H3,CUOXAgU?>h5Z0.klijbrdlU;#DABoewoaZEhzlUB="I_I^K2+BVNW@dT9?k4U1-jkhic}eoT<"G@Mnfvh`YDg{oTE INOl`pjbWJeyiRG>/FRJ[L7(OYCT^hok_hlu76=R8&cdabjzld]3+LIJgmgiRM`rd]J5*AWAVC:#J^F_Sgb`Zoi~88n7X> inol`pjbW9%BC@akumg\GjtbW@;$K]GPI3-DTLYNfV:896[?/hmnkaskmV:$EBC`dtnf[FiumVC:#J^F_H0,CUOXAgU;S}{pnv07>S7'`efci{ce^2,MJKhl|fnSNa}e^K2+BVNW@8$K]GPIovfvwsw|:k0Y=!folmgqicX8&CDAbjzld]@kwcXA8%L\DQF2.ESMZOi|lxyy}zPrrvskq553\:$ebc`dtnf[5)NGDeoyakPCnpf[L7(OYCTE?!HPH]QadbXag|8?6[?/hmnkaskmV:$EBC`dtnf[FiumVC:#J^F_H0,CUOXZlkoSd`y12f8Q5)ngdeoyakP0.KLIjbrdlUHckPI0-DTLYN:&_xeciPGQK-CUOX[hg{`hjP03d8Q5)ngdeoyakP0.KLIjbrdlUHckPI0-DTLYN;&M[ERGa<1<1b>S7'`efci{ce^2,MJKhl|fnSNa}e^K2+BVNW@9$K]GPIo>2:7c<]9%bc`akumg\4*OHEfn~`hQLosg\M4)@X@UB?"I_I^Km[54b3\:$ebc`dtnf[5)NGDeoyakPCnpf[L7(OYCTE>!HPH]JjZ74:2_;#daboewoaZ6(AFGdhxbj_BmqaZO6'NZBSD= GQK\V`gcW`d}?>5Z0.klijbrdlU;#DABoewoaZEhzlUB="I_I^K0+BVNW[ojhRgav012?P6(afgdhxbj_1-JKHic}eoTOb|j_H3,CUIXA8%l|bQfo^k?4;553\:$ebc`dtnf[5)NGDeoyakPCnpf[L7(OYETE6[?/hmnkaskmV:$EBC`dtnf[FiumVC:#J^@_H3,cuiXafUb0 inol`pjbW9%BC@akumg\GjtbW@;$K]API0-dtjYngVc7=90<2:W3+lijgmgiR> INOl`pjbWJeyiRG>/FRL[L7(oyeTebQf<07=74=R8&cdabjzld]3+LIJgmgiRM`rd]J5*AWGVC:#j~`_hm\m979;81^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&M[CRG>/frl[liXa585?<5Z0.klijbrdlU;#DABoewoaZEhzlUB="I_O^K2+bvhW`eTe1=1309V4*ohefn~`hQ?/HMNkaskmVId~hQF1.ESKZO6'nzdSdaPi=6=74=R8&cdabjzld]3+LIJgmgiRM`rd]J5*AWGVC:#j~`_hm\m939;81^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&M[CRG>/frl[liXa5<5?<5Z0.klijbrdlU;#DABoewoaZEhzlUB="I_O^K2+bvhW`eTe191309V4*ohefn~`hQ?/HMNkaskmVId~hQF1.ESKZO6'nzdSdaPi=:=74=R8&cdabjzld]3+LIJgmgiRM`rd]J5*AWGVC:#j~`_hm\m9?9;91^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&M[CRG>/frl[liXaV:8<6[?/hmnkaskmV:$EBC`dtnf[FiumVC:#J^@_H3,cuiXafUbS<=>;T2,mjkhl|fnS=!FOLmgqicXKfxnSD? GQM\M4)`xfUbcRgP1112?P6(afgdhxbj_1-JKHic}eoTOb|j_H3,CUIXA8%l|bQfo^k\54563\:$ebc`dtnf[5)NGDeoyakPCnpf[L7(OYETE inol`pjbW9%BC@akumg\GjtbW@;$K]API0-dtjYngVcT=>=>;T2,mjkhl|fnS=!FOLmgqicXKfxnSD? GQM\M4)`xfUbcRgP1512?P6(afgdhxbj_1-JKHic}eoTOb|j_H3,CUIXA8%l|bQfo^k\50573\:$ebc`dtnf[5)NGDeoyakPCnpf[L7(OYETE INOl`pjbWJeyiRG>/FRL[L7(oyeTebQf_413?P6(afgdhxbj_1-JKHic}eoTOb|j_H3,CUIXA8%l|bQfo^k\266<]9%bc`akumg\4*OHEfn~`hQLosg\M4)@XFUB="io^kl[lY0;91^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&M[CRG>/frl[liXaV28<6[?/hmnkaskmV:$EBC`dtnf[FiumVC:#J^@_H3,cuiXafUbS4=>;T2,mjkhl|fnS=!FOLmgqicXKfxnSD? GQM\M7)`xfUbcRg30?11?P6(afgdhxbj_1-JKHic}eoTOb|j_H3,CUIXA;%l|bQfo^k?5584:2_;#daboewoaZ6(AFGdhxbj_BmqaZO6'NZDSD< gqm\mjYn48;5??5Z0.klijbrdlU;#DABoewoaZEhzlUB="I_O^K1+bvhW`eTe1?=>208Q5)ngdeoyakP0.KLIjbrdlUHckPI0-DTJYN:&m{cRg`_h>27;553\:$ebc`dtnf[5)NGDeoyakPCnpf[L7(OYETE?!hpn]jkZo;9=48>6[?/hmnkaskmV:$EBC`dtnf[FiumVC:#J^@_H0,cuiXafUb0<;1309V4*ohefn~`hQ?/HMNkaskmVId~hQF1.ESKZO5'nzdSdaPi=3=74=R8&cdabjzld]3+LIJgmgiRM`rd]J5*AWGVC9#j~`_hm\m949;81^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&M[CRG=/frl[liXa595?<5Z0.klijbrdlU;#DABoewoaZEhzlUB="I_O^K1+bvhW`eTe1:1309V4*ohefn~`hQ?/HMNkaskmVId~hQF1.ESKZO5'nzdSdaPi=7=74=R8&cdabjzld]3+LIJgmgiRM`rd]J5*AWGVC9#j~`_hm\m909;81^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&M[CRG=/frl[liXa5=5?<5Z0.klijbrdlU;#DABoewoaZEhzlUB="I_O^K1+bvhW`eTe161309V4*ohefn~`hQ?/HMNkaskmVId~hQF1.ESKZO5'nzdSdaPi=;=75=R8&cdabjzld]3+LIJgmgiRM`rd]J5*AWGVC9#j~`_hm\mZ6482_;#daboewoaZ6(AFGdhxbj_BmqaZO6'NZDSD< gqm\mjYnW89:7X> inol`pjbW9%BC@akumg\GjtbW@;$K]API3-dtjYngVcT===>;T2,mjkhl|fnS=!FOLmgqicXKfxnSD? GQM\M7)`xfUbcRgP1012?P6(afgdhxbj_1-JKHic}eoTOb|j_H3,CUIXA;%l|bQfo^k\57563\:$ebc`dtnf[5)NGDeoyakPCnpf[L7(OYETE?!hpn]jkZoX9:9:7X> inol`pjbW9%BC@akumg\GjtbW@;$K]API3-dtjYngVcT=9=>;T2,mjkhl|fnS=!FOLmgqicXKfxnSD? GQM\M7)`xfUbcRgP1413?P6(afgdhxbj_1-JKHic}eoTOb|j_H3,CUIXA;%l|bQfo^k\666<]9%bc`akumg\4*OHEfn~`hQLosg\M4)@XFUB>"io^kl[lY4;91^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&M[CRG=/frl[liXaV>8<6[?/hmnkaskmV:$EBC`dtnf[FiumVC:#J^@_H0,cuiXafUbS8=?;T2,mjkhl|fnS=!FOLmgqicXKfxnSD? GQM\M7)`xfUbcRgP6228Q5)ngdeoyakP0.KLIjbrdlUHckPI0-DTJYN:&m{cRg`_h]475=R8&cdabjzld]3+LIJgmgiRM`rd]J5*AWGVC9#j~`_hm\mZ>482_;#daboewoaZ6(AFGdhxbj_BmqaZO6'NZDSD< gqm\mjYnW09;7X> inol`pjbW9%BC@akumg\GjtbW@;$K]API2-dtjYngVcT<>94U1-jkhic}eoT<"G@Mnfvh`YDg{oTE INOl`pjbWJeyiRG>/FRL[L2(oyeTebQf<3<05>S7'`efci{ce^2,MJKhl|fnSNa}e^K2+BVHW@>$k}aPin]j868492_;#daboewoaZ6(AFGdhxbj_BmqaZO6'NZDSD: gqm\mjYn4=48=6[?/hmnkaskmV:$EBC`dtnf[FiumVC:#J^@_H6,cuiXafUb080<0:W3+lijgmgiR> INOl`pjbWJeyiRG>/FRL[L2(oyeTebQf_113?P6(afgdhxbj_1-JKHic}eoTOb|j_H3,CUIXA=%l|bQfo^k\566<]9%bc`akumg\4*OHEfn~`hQLosg\M4)@XFUB8"io^kl[lY5;91^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&M[CRG;/frl[liXaV98<6[?/hmnkaskmV:$EBC`dtnf[FiumVC:#J^@_H6,cuiXafUbS9=?;T2,mjkhl|fnS=!FOLmgqicXKfxnSD? GQM\M1)`xfUbcRgP53`8Q5)ngdeoyakP0.KLIjbrdlUHckPI0-jjqeXk`zy0=0=c:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwgZenx{6:>3o5Z0.klijbrdlU;#DABoewoaZEhzlUB="gatb]`mut;:78i7X> inol`pjbW9%BC@akumg\GjtbW@;$eczl_bksv959:k1^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&cexnQliqp?0;4e3\:$ebc`dtnf[5)NGDeoyakPCnpf[L7(ag~hSngr=7=6g=R8&cdabjzld]3+LIJgmgiRM`rd]J5*oi|jUhe}|36?0a?P6(afgdhxbj_1-JKHic}eoTOb|j_H3,mkrdWjc{~191389V4*ohefn~`hQ?/HMNkaskmVId~hQF1.kmpwYd|{fTE0Y=!folmgqicX8&CDAbjzld]@kwcXA8%bby|Pcupo[L7(AG^HSD\^3d9V4*ohefn~`hQ?/HMNkaskmVId~hQF1.kmpwYd|{fTES7'`efci{ce^2,MJKhl|fnSNa}e^K2+lhszVi~aQF1.KMPFYPZ@^NSNGNR^dbwqYfkbQ:>PQ@R^070Zi2:2_;#daboewoaZ6(AFGdhxbj_BmqaZO6'`d~Rm{rm]J5*OI\JU\^DZJ_BKBVZ`f{}UjofU>2\]LVZ435\Y25XYpamoTaxvP48]LQQY5Wo28e:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwvZeszeUB="Cbuy]Vwlh`WOkxx#mfv`p\v`gcWhno~V=R_TqjjbYAiz~%odxnrZ36Y^76UV}bhhQbuy]7=ZIR\V8Tj5Q=7d9V4*ohefn~`hQ?/HMNkaskmVId~hQF1.kmpwYd|{fTERh7_55f?P6(afgdhxbj_1-JKHic}eoTOb|j_H3,mkruWj~y`RG>/Lov|ZStagmTJl}{.bkuewYumhnTmij}[2_\QvoioVLjy liwcq_43ZS8;VSzgke^ov|Z2>WF__S?Qi8^74a>S7'`efci{ce^2,MJKhl|fnSNa}e^K2+lhszVi~aQF1.Onq}YR{`dlSKo|t/ajrdtXzlkoSljkrZ1^[PunfnUMm~z!chtbv^72UR;:QRyfdd]nq}Y31VE^XR2SB[[_3]eQV?>]^uj``Yj}qU?5RAZT^1\b21c3\:$ebc`dtnf[5)NGDeoyakPCnpf[L7(ag~ySnz}l^K2+HkrpV_xeciPF`qw*foqi{UyiljPaefq_6[X]zcekRHnsu,`msguS8?VW2SB[[_2]e341b3\:$ebc`dtnf[5)NGDeoyakPCnpf[L7(ag~ySnz}l^K2+HkrpV_xeciPF`qw*foqi{UyiljPaefq_6[X]zcekRHnsu,`msguS8?VW2SB[[_2]e3460m2_;#daboewoaZ6(AFGdhxbj_BmqaZO6'`d~Rm{rm]J5*Kj}qU^d`h_Gcpp+en~hxT~hok_`fgv^5ZW\ybbjQIarv-glpfzR;>QV?>]^uj``Yj}qU?5RAZT^1\b276?m1^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&cexQltsn\M4)Je|rTY~gag^Dbwq(dakySknd^cg`w]4UV_xeciPF`qw*foqi{Q:9PU>1\]tmacXe|rT84Q@UU]0[c15?m1^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&cexQltsn\M4)Je|rTY~gag^Dbwq(dakySknd^cg`w]4UV_xeciPF`qw*foqi{Q:9PU>1\]tmacXe|rT84Q@UU]0[c14?m1^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&cexQltsn\M4)Je|rTY~gag^Dbwq(dakySknd^cg`w]4UV_xeciPF`qw*foqi{Q:9PU>1\]tmacXe|rT84Q@UU]0[c13?m1^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&cexQltsn\M4)Je|rTY~gag^Dbwq(dakySknd^cg`w]4UV_xeciPF`qw*foqi{Q:9PU>1\]tmacXe|rT84Q@UU]0[c12?m1^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&cexQltsn\M4)Je|rTY~gag^Dbwq(dakySknd^cg`w]4UV_xeciPF`qw*foqi{Q:9PU>1\]tmacXe|rT84Q@UU]0[c11?m1^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&cexQltsn\M4)Je|rTY~gag^Dbwq(dakySknd^cg`w]4UV_xeciPF`qw*foqi{Q:9PU>1\]tmacXe|rT84Q@UU]0[c10?m1^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&cexQltsn\M4)Je|rTY~gag^Dbwq(dakySknd^cg`w]4UV_xeciPF`qw*foqi{Q:9PU>1\]tmacXe|rT84Q@UU]0[c1??m1^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&cexQltsn\M4)Je|rTY~gag^Dbwq(dakySknd^cg`w]4UV_xeciPF`qw*foqi{Q:9PU>1\]tmacXe|rT84Q@UU]0[c1>?l1^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&cexQltsn\M4)Je|rTY~gag^Dbwq(dakySknd^cg`w]4UV_xeciPF`qw*foqi{Q:9PU>1\]tmacXe|rT84Q@UU]0[c1X81;0Y=!folmgqicX8&CDAbjzld]@kwcXA8%bby|Pcupo[L7(EdsSX}fnf]Eevr)k`|j~R|jae]b`at\;TU^d`h_Gcpp+en~hxP=8ST10_\slbbWdsS97POTV\7Z`0W9Uyx5<4U1-jkhic}eoT<"G@Mnfvh`YDg{oTE/Lov|ZStagmTJl}{.bkuewYumhnTmij}[2_\QvoioVLjy liwcq_43ZS8;VSzgke^ov|Z2>WF__S>Qi7^34b>S7'`efci{ce^2,MJKhl|fnSNa}e^K2+lhszVi~aQF1.Onq}YR{`dlSKo|t/ajrdtXzlkoSljkrZ1^[PunfnUMm~z!chtbv^72UR;:QRyfdd]nq}Y31VE^XR=Pf6]24=4<]9%bc`akumg\4*OHEfn~`hQLosg\M4)nf}xToy|c_H3,IhsW\ybbjQIarv-glpfzVxnmiQndepX7XYR{`dlSKo|t/ajrdt\9 inol`pjbW9%BC@akumg\GjtbW@;$ecz}_bvqhZO6'Dg~tR[|ioe\Bdus&jc}mQ}e`f\eabuS:WTY~gag^Dbwq(dakyW<;R[03^[rocmVg~tR:6_NWW[6Ya?V;;Sz>809V4*ohefn~`hQ?/HMNkaskmVId~hQF1.kmpwYd|{fTEo0Y=!folmgqicX8&CDAbjzld]@kwcXA8%bby|Pcupo[L7(EdsSX}fnf]Eevr)k`|j~R|jae]b`at\;TU^d`h_Gcpp+en~hxP=8ST10_\slbbWdsS97POTV\7Z`0W;2:7X> inol`pjbW9%BC@akumg\GjtbW@;$ecz}_bvqhZO6'Dg~tR[|ioe\Bdus&jc}mQ}e`f\eabuS:WTY~gag^Dbwq(dakyW<;R[03^[rocmVg~tR:6_NWW[6Ya?V8T~y6=;T2,mjkhl|fnS=!FOLmgqicXKfxnSD? iovq[frudVC:#@czx^WpmkaXNhy"ngyas]qadbXimnyW>SPUrkmcZ@f{}$he{o}[07^_47ZW~coiRczx^6:[JSSW:Um;RS7'`efci{ce^2,MJKhl|fnSNa}e^K2+lhszVi~aQF1.Onq}YR{`dlSKo|t/ajrdtXzlkoSljkrZ1^[PunfnUMm~z!chtbv^72UR;:QRyfdd]nq}Y31VE^XR=Pf6]0<4=R8&cdabjzld]3+LIJgmgiRM`rd]J5*oi|{UhxbPI0-Nip~X]zcekRHnsu,`msguW{ojhRokdsY0YZStagmTJl}{.bkuew]6=TQ:=PQxieg\ip~X<0UDYYQ<_g5\7Zts0;1^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&cexQltsn\M4)Je|rTY~gag^Dbwq(dakySknd^cg`w]4UV_xeciPF`qw*foqi{Q:9PU>1\]tmacXe|rT84Q@UU]0[c1X;Vx=:k4U1-jkhic}eoT<"G@Mnfvh`YDg{oTE;T2,mjkhl|fnS=!FOLmgqicXKfxnSD? iovq[frudVC:#@czx^WpmkaXNhy"ngyas]qadbXimnyW>SPUrkmcZ@f{}$he{o}[07^_47ZW~coiRczx^6:[JSSW:Um;R:Pru:1?P6(afgdhxbj_1-JKHic}eoTOb|j_H3,mkruWj~y`RG>/Lov|ZStagmTJl}{.bkuewYumhnTmij}[2_\QvoioVLjy liwcq_43ZS8;VSzgke^ov|Z2>WF__S>Qi7^6\vq70m2_;#daboewoaZ6(AFGdhxbj_BmqaZO6'`d~Rm{rm]J5*Kj}qU^d`h_Gcpp+en~hxT~hok_`fgv^5ZW\ybbjQIarv-glpfzR;>QV?>]^uj``Yj}qU?5RAZT^1\b2Y2081^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&cexQltsn\M4)Je|rTY~gag^Dbwq(dakySknd^cg`w]4UV_xeciPF`qw*foqi{Q:9PU>1\]tmacXe|rT84Q@UU]0[c1X=Vx4?5Z0.klijbrdlU;#DABoewoaZEhzlUB="gats]`pwjXA8%FaxvPUrkmcZ@f{}$he{o}_sgb`Zgcl{Q8QR[|ioe\Bdus&jc}mU>5\Y25XYpamoTaxvP48]LQQY4Wo=T9R|{16g8Q5)ngdeoyakP0.KLIjbrdlUHckPI0-jjqtXk}xgSD? Mlw{[PunfnUMm~z!chtbvZtbimUjhi|T3\]Vwlh`WOkxx#mfv`pX50[\98WT{djj_lw{[1?XG\^T?Rh8_7:2?P6(afgdhxbj_1-JKHic}eoTOb|j_H3,mkruWj~y`RG>/Lov|ZStagmTJl}{.bkuewYumhnTmij}[2_\QvoioVLjy liwcq_43ZS8;VSzgke^ov|Z2>WF__S>Qi7^4\vq>53\:$ebc`dtnf[5)NGDeoyakPCnpf[L7(ag~ySnz}l^K2+HkrpV_xeciPF`qw*foqi{UyiljPaefq_6[X]zcekRHnsu,`msguS8?VW2SB[[_2]e3Z0Xz};U<4<5Z0.klijbrdlU;#DABoewoaZEhzlUB="gats]`pwjXA8%FaxvPUrkmcZ@f{}$he{o}_sgb`Zgcl{Q8QR[|ioe\Bdus&jc}mU>5\Y25XYpamoTaxvP48]LQQY4Wo=T;R|{839V4*ohefn~`hQ?/HMNkaskmVId~hQF1.kmpwYd|{fTE63\:$ebc`dtnf[5)NGDeoyakPCnpf[L7(ag~ySnz}l^K2+HkrpV_xeciPF`qw*foqi{UyiljPaefq_6[X]zcekRHnsu,`msguS8?VW2SB[[_2]e3Z>Xz}297X> inol`pjbW9%BC@akumg\GjtbW@;$ecz}_bvqhZO6'Dg~tR[|ioe\Bdus&jc}mQ}e`f\eabuS:WTY~gag^Dbwq(dakyW<;R[03^[rocmVg~tR:6_NWW[6Ya?V2T~y?8e:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwvZeszeUB="Cbuy]Vwlh`WOkxx#mfv`p\v`gcWhno~V=R_TqjjbYAiz~%odxnrZ36Y^76UV}bhhQbuy]7=ZIR\V9Tj:Q6809V4*ohefn~`hQ?/HMNkaskmVId~hQF1.kmpwYd|{fTEl0Y=!folmgqicX8&CDAbjzld]@kwcXA8%bby|Pcupo[L7(EdsSX}fnf]Eevr)k`|j~R|jae]b`at\;TU^d`h_Gcpp+en~hxP=8ST10_\slbbWdsS97POTV\7Z`0W{~3<6[?/hmnkaskmV:$EBC`dtnf[FiumVC:#d`{r^awviYN9&GfyuQZshld[Cgt|'ibzl|Prdcg[dbczR9VSX}fnf]Eevr)k`|j~V?:]Z32YZqnllUfyuQ;9^MVPZ5Xn>Uyx<9l;T2,mjkhl|fnS=!FOLmgqicXKfxnSD? iovq[frudVC:#@czx^WpmkaXNhy"ngyas]qadbXimnyW>SPUrkmcZ@f{}$he{o}[07^_47ZW~coiRczx^6:[JSSW=Um;:j4U1-jkhic}eoT<"G@Mnfvh`YDg{oTESPUrkmcZ@f{}$he{o}[07^_47ZW~coiRczx^6:[JSSW=Um; INOl`pjbWJeyiRG>/hlwvZeszeUB="Cbuy]Vwlh`WOkxx#mfv`p\v`gcWhno~V=R_TqjjbYAiz~%odxnrZ36Y^76UV}bhhQbuy]7=ZIR\V>Tj:<8d:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwvZeszeUB="Cbuy]Vwlh`WOkxx#mfv`p\v`gcWhno~V=R_TqjjbYAiz~%odxnrZ36Y^76UV}bhhQbuy]7=ZIR\V>Tj:=8d:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwvZeszeUB="Cbuy]Vwlh`WOkxx#mfv`p\v`gcWhno~V=R_TqjjbYAiz~%odxnrZ36Y^76UV}bhhQbuy]7=ZIR\V>Tj::8d:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwvZeszeUB="Cbuy]Vwlh`WOkxx#mfv`p\v`gcWhno~V=R_TqjjbYAiz~%odxnrZ36Y^76UV}bhhQbuy]7=ZIR\V>Tj:;8d:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwvZeszeUB="Cbuy]Vwlh`WOkxx#mfv`p\v`gcWhno~V=R_TqjjbYAiz~%odxnrZ36Y^76UV}bhhQbuy]7=ZIR\V>Tj:88d:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwvZeszeUB="Cbuy]Vwlh`WOkxx#mfv`p\v`gcWhno~V=R_TqjjbYAiz~%odxnrZ36Y^76UV}bhhQbuy]7=ZIR\V>Tj:98d:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwvZeszeUB="Cbuy]Vwlh`WOkxx#mfv`p\v`gcWhno~V=R_TqjjbYAiz~%odxnrZ36Y^76UV}bhhQbuy]7=ZIR\V>Tj:68d:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwvZeszeUB="Cbuy]Vwlh`WOkxx#mfv`p\v`gcWhno~V=R_TqjjbYAiz~%odxnrZ36Y^76UV}bhhQbuy]7=ZIR\V>Tj:78e:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwvZeszeUB="Cbuy]Vwlh`WOkxx#mfv`p\v`gcWhno~V=R_TqjjbYAiz~%odxnrZ36Y^76UV}bhhQbuy]7=ZIR\V>Tj:Q?809V4*ohefn~`hQ?/HMNkaskmVId~hQF1.kmpwYd|{fTEo0Y=!folmgqicX8&CDAbjzld]@kwcXA8%bby|Pcupo[L7(EdsSX}fnf]Eevr)k`|j~R|jae]b`at\;TU^d`h_Gcpp+en~hxP=8ST10_\slbbWdsS97POTV\0Z`0W8=m7X> inol`pjbW9%BC@akumg\GjtbW@;$ecz}_bvqhZO6'Dg~tR[|ioe\Bdus&jc}mQ}e`f\eabuS:WTY~gag^Dbwq(dakyW<;R[03^[rocmVg~tR:6_NWW[1Ya?V;;4?5Z0.klijbrdlU;#DABoewoaZEhzlUB="gats]`pwjXA8%FaxvPUrkmcZ@f{}$he{o}_sgb`Zgcl{Q8QR[|ioe\Bdus&jc}mU>5\Y25XYpamoTaxvP48]LQQY3Wo=T==Q}t918Q5)ngdeoyakP0.KLIjbrdlUHckPI0-jjqtXk}xgSD? Mlw{[PunfnUMm~z!chtbvZtbimUjhi|T3\]Vwlh`WOkxx#mfv`pX50[\98WT{djj_lw{[1?XG\^T8Rh8_02\vq7?92_;#daboewoaZ6(AFGdhxbj_BmqaZO6'`d~Rm{rm]J5*Kj}qU^d`h_Gcpp+en~hxT~hok_`fgv^5ZW\ybbjQIarv-glpfzR;>QV?>]^uj``Yj}qU?5RAZT^6\b2Y6W{~3>6[?/hmnkaskmV:$EBC`dtnf[FiumVC:#d`{r^awviYN9&GfyuQZshld[Cgt|'ibzl|Prdcg[dbczR9VSX}fnf]Eevr)k`|j~V?:]Z32YZqnllUfyuQ;9^MVPZ2Xn>U:Sz>7d9V4*ohefn~`hQ?/HMNkaskmVId~hQF1.kmpwYd|{fTE inol`pjbW9%BC@akumg\GjtbW@;$ecz}_bvqhZO6'Dg~tR[|ioe\Bdus&jc}mQ}e`f\eabuS:WTY~gag^Dbwq(dakyW<;R[03^[rocmVg~tR:6_NWW[1Ya?V93=6[?/hmnkaskmV:$EBC`dtnf[FiumVC:#d`{r^awviYN9&GfyuQZshld[Cgt|'ibzl|Prdcg[dbczR9VSX}fnf]Eevr)k`|j~V?:]Z32YZqnllUfyuQ;9^MVPZ2Xn>U8Sz72:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwvZeszeUB="Cbuy]Vwlh`WOkxx#mfv`p\v`gcWhno~V=R_TqjjbYAiz~%odxnrZ36Y^76UV}bhhQbuy]7=ZIR\V>Tj:Q<_sv23`=R8&cdabjzld]3+LIJgmgiRM`rd]J5*oi|{UhxbPI0-Nip~X]zcekRHnsu,`msguW{ojhRokdsY0YZStagmTJl}{.bkuew]6=TQ:=PQxieg\ip~X<0UDYYQ;_g5\0=7<]9%bc`akumg\4*OHEfn~`hQLosg\M4)nf}xToy|c_H3,IhsW\ybbjQIarv-glpfzVxnmiQndepX7XYR{`dlSKo|t/ajrdt\9SPUrkmcZ@f{}$he{o}[07^_47ZW~coiRczx^6:[JSSW=Um;R;71:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwvZeszeUB="Cbuy]Vwlh`WOkxx#mfv`p\v`gcWhno~V=R_TqjjbYAiz~%odxnrZ36Y^76UV}bhhQbuy]7=ZIR\V>Tj:Q:_sv;6>S7'`efci{ce^2,MJKhl|fnSNa}e^K2+lhszVi~aQF1.Onq}YR{`dlSKo|t/ajrdtXzlkoSljkrZ1^[PunfnUMm~z!chtbv^72UR;:QRyfdd]nq}Y31VE^XR:Pf6]6[wr6?l1^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&cexQltsn\M4)Je|rTY~gag^Dbwq(dakySknd^cg`w]4UV_xeciPF`qw*foqi{Q:9PU>1\]tmacXe|rT84Q@UU]7[c1X>1;0Y=!folmgqicX8&CDAbjzld]@kwcXA8%bby|Pcupo[L7(EdsSX}fnf]Eevr)k`|j~R|jae]b`at\;TU^d`h_Gcpp+en~hxP=8ST10_\slbbWdsS97POTV\0Z`0W?Uyx5<4U1-jkhic}eoT<"G@Mnfvh`YDg{oTE/Lov|ZStagmTJl}{.bkuewYumhnTmij}[2_\QvoioVLjy liwcq_43ZS8;VSzgke^ov|Z2>WF__S9Qi7^5;5>S7'`efci{ce^2,MJKhl|fnSNa}e^K2+lhszVi~aQF1.Onq}YR{`dlSKo|t/ajrdtXzlkoSljkrZ1^[PunfnUMm~z!chtbv^72UR;:QRyfdd]nq}Y31VE^XR:Pf6]4[wr?:2_;#daboewoaZ6(AFGdhxbj_BmqaZO6'`d~Rm{rm]J5*Kj}qU^d`h_Gcpp+en~hxT~hok_`fgv^5ZW\ybbjQIarv-glpfzR;>QV?>]^uj``Yj}qU?5RAZT^6\b2Y0W{~:;h5Z0.klijbrdlU;#DABoewoaZEhzlUB="gats]`pwjXA8%FaxvPUrkmcZ@f{}$he{o}_sgb`Zgcl{Q8QR[|ioe\Bdus&jc}mU>5\Y25XYpamoTaxvP48]LQQY3Wo=T45?4U1-jkhic}eoT<"G@Mnfvh`YDg{oTE2SB[[_5]e3Z??92_;#daboewoaZ6(AFGdhxbj_BmqaZO6'`d~Rm{rm]J5*Kj}qU^d`h_Gcpp+en~hxT~hok_`fgv^5ZW\ybbjQIarv-glpfzR;>QV?>]^uj``Yj}qU?5RAZT^6\b2Y>W{~3>6[?/hmnkaskmV:$EBC`dtnf[FiumVC:#d`{r^awviYN9&GfyuQZshld[Cgt|'ibzl|Prdcg[dbczR9VSX}fnf]Eevr)k`|j~V?:]Z32YZqnllUfyuQ;9^MVPZ2Xn>U2Sz>7g9V4*ohefn~`hQ?/HMNkaskmVId~hQF1.kmpwYd|{fTE/Lov|ZStagmTJl}{.bkuewYumhnTmij}[2_\QvoioVLjy liwcq_43ZS8;VSzgke^ov|Z2>WF__S9Qi7^pw50`<]9%bc`akumg\4*OHEfn~`hQLosg\M4)nf}xToy|c_H3,IhsW\ybbjQIarv-bdusWz~jxhU>]^EM@Z24>:UdS@{w_33\k47392_;#daboewoaZ6(AFGdhxbj_BmqaZO6'`d~Rm{rm]J5*StagmTJl}{.bkuewY7494?>6[?/hmnkaskmV:$EBC`dtnf[FiumVC:#d`{r^awviYN9&_xeciPF`qw*foqi{U;0<>1439V4*ohefn~`hQ?/HMNkaskmVId~hQF1.kmpwYd|{fTE538Q5)ngdeoyakP0.KLIjbrdlUHckPI0-jjqtXk}xgSD? UrkmcZ@f{}$he{o}_1>7:17<]9%bc`akumg\4*OHEfn~`hQLosg\M4)nf}xToy|c_H3,QvoioVLjy liwcq[5:26=;0Y=!folmgqicX8&CDAbjzld]@kwcXA8%bby|Pcupo[L7(]zcekRHnsu,`msguW96=29?4U1-jkhic}eoT<"G@Mnfvh`YDg{oTE538Q5)ngdeoyakP0.KLIjbrdlUHckPI0-jjqtXk}xgSD? UrkmcZ@f{}$he{o}_1>;:17<]9%bc`akumg\4*OHEfn~`hQLosg\M4)nf}xToy|c_H3,QvoioVLjy liwcq[5:>6=:0Y=!folmgqicX8&CDAbjzld]@kwcXA8%bby|Pcupo[L7(]zcekRHnsu,`msguW9U;8=5Z0.klijbrdlU;#DABoewoaZEhzlUB="gats]`pwjXA8%^d`h_Gcpp+en~hxT INOl`pjbWJeyiRG>/hlwvZeszeUB="[|ioe\Bdus&jc}mQ?_0275>S7'`efci{ce^2,MJKhl|fnSNa}e^K2+lhszVi~aQF1.WpmkaXNhy"ngyas]3[47382_;#daboewoaZ6(AFGdhxbj_BmqaZO6'`d~Rm{rm]J5*StagmTJl}{.bkuewY7W;>;7X> inol`pjbW9%BC@akumg\GjtbW@;$ecz}_bvqhZO6'\ybbjQIarv-glpfzV:T?9>4U1-jkhic}eoT<"G@Mnfvh`YDg{oTES7'`efci{ce^2,MJKhl|fnSNa}e^K2+lhszVi~aQF1.WpmkaXNhy"ngyas]3[3273\:$ebc`dtnf[5)NGDeoyakPCnpf[L7(ag~ySnz}l^K2+PunfnUMm~z!chtbvZ6X?=:0Y=!folmgqicX8&CDAbjzld]@kwcXA8%bby|Pcupo[L7(]zcekRHnsu,`msguW9U38=5Z0.klijbrdlU;#DABoewoaZEhzlUB="gats]`pwjXA8%^d`h_Gcpp+en~hxT INOl`pjbWJeyiRG>/hlwvZeszeUB="[|ioe\Bdus&jc}mQ>2=2=06=R8&cdabjzld]3+LIJgmgiRM`rd]J5*oi|{UhxbPI0-Vwlh`WOkxx#mfv`p\57:687>87X> inol`pjbW9%BC@akumg\GjtbW@;$ecz}_bvqhZO6'\ybbjQIarv-glpfzV;902:14<]9%bc`akumg\4*OHEfn~`hQLosg\M4)nf}xToy|c_H3,QvoioVLjy liwcq[44;:7>97X> inol`pjbW9%BC@akumg\GjtbW@;$ecz}_bvqhZO6'\ybbjQIarv-glpfzV;90>0;2:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwvZeszeUB="[|ioe\Bdus&jc}mQ>2=6=07=R8&cdabjzld]3+LIJgmgiRM`rd]J5*oi|{UhxbPI0-Vwlh`WOkxx#mfv`p\57:26=80Y=!folmgqicX8&CDAbjzld]@kwcXA8%bby|Pcupo[L7(]zcekRHnsu,`msguW887:3:=;T2,mjkhl|fnS=!FOLmgqicXKfxnSD? iovq[frudVC:#X}fnf]Eevr)k`|j~R?=<6<76>S7'`efci{ce^2,MJKhl|fnSNa}e^K2+lhszVi~aQF1.WpmkaXNhy"ngyas]269>9<;1^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&cexQltsn\M4)R{`dlSKo|t/ajrdtX9;6229?4U1-jkhic}eoT<"G@Mnfvh`YDg{oTE97X> inol`pjbW9%BC@akumg\GjtbW@;$ecz}_bvqhZO6'\ybbjQIarv-glpfzV;9S INOl`pjbWJeyiRG>/hlwvZeszeUB="[|ioe\Bdus&jc}mQ>2^075>S7'`efci{ce^2,MJKhl|fnSNa}e^K2+lhszVi~aQF1.WpmkaXNhy"ngyas]26Z5392_;#daboewoaZ6(AFGdhxbj_BmqaZO6'`d~Rm{rm]J5*StagmTJl}{.bkuewY6:V>?=6[?/hmnkaskmV:$EBC`dtnf[FiumVC:#d`{r^awviYN9&_xeciPF`qw*foqi{U:>R;;1:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwvZeszeUB="[|ioe\Bdus&jc}mQ>2^475>S7'`efci{ce^2,MJKhl|fnSNa}e^K2+lhszVi~aQF1.WpmkaXNhy"ngyas]26Z1392_;#daboewoaZ6(AFGdhxbj_BmqaZO6'`d~Rm{rm]J5*StagmTJl}{.bkuewY6:V2?=6[?/hmnkaskmV:$EBC`dtnf[FiumVC:#d`{r^awviYN9&_xeciPF`qw*foqi{U:>R7;1:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwvZeszeUB="[|ioe\Bdus&jc}mQ;<1<76>S7'`efci{ce^2,MJKhl|fnSNa}e^K2+lhszVi~aQF1.WpmkaXNhy"ngyas]78469<;1^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&cexQltsn\M4)R{`dlSKo|t/ajrdtX<5;:29?4U1-jkhic}eoT<"G@Mnfvh`YDg{oTE>538Q5)ngdeoyakP0.KLIjbrdlUHckPI0-jjqtXk}xgSD? UrkmcZ@f{}$he{o}_5>1:17<]9%bc`akumg\4*OHEfn~`hQLosg\M4)nf}xToy|c_H3,QvoioVLjy liwcq[1:46=;0Y=!folmgqicX8&CDAbjzld]@kwcXA8%bby|Pcupo[L7(]zcekRHnsu,`msguW=6?29?4U1-jkhic}eoT<"G@Mnfvh`YDg{oTE538Q5)ngdeoyakP0.KLIjbrdlUHckPI0-jjqtXk}xgSD? UrkmcZ@f{}$he{o}_5>5:17<]9%bc`akumg\4*OHEfn~`hQLosg\M4)nf}xToy|c_H3,QvoioVLjy liwcq[1:06=;0Y=!folmgqicX8&CDAbjzld]@kwcXA8%bby|Pcupo[L7(]zcekRHnsu,`msguW=6329?4U1-jkhic}eoT<"G@Mnfvh`YDg{oTE528Q5)ngdeoyakP0.KLIjbrdlUHckPI0-jjqtXk}xgSD? UrkmcZ@f{}$he{o}_5]305=R8&cdabjzld]3+LIJgmgiRM`rd]J5*oi|{UhxbPI0-Vwlh`WOkxx#mfv`p\0Z7392_;#daboewoaZ6(AFGdhxbj_BmqaZO6'`d~Rm{rm]J5*StagmTJl}{.bkuewY3W8:?=6[?/hmnkaskmV:$EBC`dtnf[FiumVC:#d`{r^awviYN9&_xeciPF`qw*foqi{U?S INOl`pjbWJeyiRG>/hlwvZeszeUB="[|ioe\Bdus&jc}mQ;_363?P6(afgdhxbj_1-JKHic}eoTOb|j_H3,mkruWj~y`RG>/TqjjbYAiz~%odxnr^6\716<]9%bc`akumg\4*OHEfn~`hQLosg\M4)nf}xToy|c_H3,QvoioVLjy liwcq[1Y3<91^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&cexQltsn\M4)R{`dlSKo|t/ajrdtX6[?/hmnkaskmV:$EBC`dtnf[FiumVC:#d`{r^awviYN9&_xeciPF`qw*foqi{U30<>1439V4*ohefn~`hQ?/HMNkaskmVId~hQF1.kmpwYd|{fTE538Q5)ngdeoyakP0.KLIjbrdlUHckPI0-jjqtXk}xgSD? UrkmcZ@f{}$he{o}_9>7:17<]9%bc`akumg\4*OHEfn~`hQLosg\M4)nf}xToy|c_H3,QvoioVLjy liwcq[=:26=;0Y=!folmgqicX8&CDAbjzld]@kwcXA8%bby|Pcupo[L7(]zcekRHnsu,`msguW16=29?4U1-jkhic}eoT<"G@Mnfvh`YDg{oTE538Q5)ngdeoyakP0.KLIjbrdlUHckPI0-jjqtXk}xgSD? UrkmcZ@f{}$he{o}_9>;:17<]9%bc`akumg\4*OHEfn~`hQLosg\M4)nf}xToy|c_H3,QvoioVLjy liwcq[=:>6=:0Y=!folmgqicX8&CDAbjzld]@kwcXA8%bby|Pcupo[L7(]zcekRHnsu,`msguW1U;8=5Z0.klijbrdlU;#DABoewoaZEhzlUB="gats]`pwjXA8%^d`h_Gcpp+en~hxT4R?;1:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwvZeszeUB="[|ioe\Bdus&jc}mQ7_0275>S7'`efci{ce^2,MJKhl|fnSNa}e^K2+lhszVi~aQF1.WpmkaXNhy"ngyas];[47382_;#daboewoaZ6(AFGdhxbj_BmqaZO6'`d~Rm{rm]J5*StagmTJl}{.bkuewY?W;>;7X> inol`pjbW9%BC@akumg\GjtbW@;$ecz}_bvqhZO6'\ybbjQIarv-glpfzV2T?9>4U1-jkhic}eoT<"G@Mnfvh`YDg{oTES7'`efci{ce^2,MJKhl|fnSNa}e^K2+lhszVi~aQF1.WpmkaXNhy"ngyas];[3273\:$ebc`dtnf[5)NGDeoyakPCnpf[L7(ag~ySnz}l^K2+PunfnUMm~z!chtbvZ>X?=:0Y=!folmgqicX8&CDAbjzld]@kwcXA8%bby|Pcupo[L7(]zcekRHnsu,`msguW1U38=5Z0.klijbrdlU;#DABoewoaZEhzlUB="gats]`pwjXA8%^d`h_Gcpp+en~hxT4R785:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwvZeszeUB="[|ioe\Bdus&jc}mQ}e`f\eabuS:WTY~gag^Dbwq(dakyW<;R[03^[rocmVg~tR:6_NWW8580>2_;#daboewoaZ6(AFGdhxbj_BmqaZO6'`d~Rm{rm]J5*StagmTJl}{.bkuewYumhnTmij}[2_\QvoioVLjy liwcq_43ZS8;VSzgke^ov|Z2>WF__0<>1779V4*ohefn~`hQ?/HMNkaskmVId~hQF1.kmpwYd|{fTE?0Y=!folmgqicX8&CDAbjzld]@kwcXA8%bby|Pcupo[L7(]zcekRHnsu,`msguW{ojhRokdsY0YZStagmTJl}{.bkuew]6=TQ:=PQxieg\ip~X<0UDYY2>>678Q5)ngdeoyakP0.KLIjbrdlUHckPI0-jjqtXk}xgSD? UrkmcZ@f{}$he{o}_sgb`Zgcl{Q8QR[|ioe\Bdus&jc}mU>5\Y25XYpamoTaxvP48]LQQ:56>?0Y=!folmgqicX8&CDAbjzld]@kwcXA8%bby|Pcupo[L7(]zcekRHnsu,`msguW{ojhRokdsY0YZStagmTJl}{.bkuew]6=TQ:=PQxieg\ip~X<0UDYY2<>678Q5)ngdeoyakP0.KLIjbrdlUHckPI0-jjqtXk}xgSD? UrkmcZ@f{}$he{o}_sgb`Zgcl{Q8QR[|ioe\Bdus&jc}mU>5\Y25XYpamoTaxvP48]LQQ:36>?0Y=!folmgqicX8&CDAbjzld]@kwcXA8%bby|Pcupo[L7(]zcekRHnsu,`msguW{ojhRokdsY0YZStagmTJl}{.bkuew]6=TQ:=PQxieg\ip~X<0UDYY2:>678Q5)ngdeoyakP0.KLIjbrdlUHckPI0-jjqtXk}xgSD? UrkmcZ@f{}$he{o}_sgb`Zgcl{Q8QR[|ioe\Bdus&jc}mU>5\Y25XYpamoTaxvP48]LQQ:16>?0Y=!folmgqicX8&CDAbjzld]@kwcXA8%bby|Pcupo[L7(]zcekRHnsu,`msguW{ojhRokdsY0YZStagmTJl}{.bkuew]6=TQ:=PQxieg\ip~X<0UDYY28>678Q5)ngdeoyakP0.KLIjbrdlUHckPI0-jjqtXk}xgSD? UrkmcZ@f{}$he{o}_sgb`Zgcl{Q8QR[|ioe\Bdus&jc}mU>5\Y25XYpamoTaxvP48]LQQ:?6>?0Y=!folmgqicX8&CDAbjzld]@kwcXA8%bby|Pcupo[L7(]zcekRHnsu,`msguW{ojhRokdsY0YZStagmTJl}{.bkuew]6=TQ:=PQxieg\ip~X<0UDYY26>508Q5)ngdeoyakP0.KLIjbrdlUHckPI0-jjqtXk}xgSD? UrkmcZ@f{}$ocRhnsu]bgn3?3\:$ebc`dtnf[5)NGDeoyakPCnpf[L7(ag~ySnz}l^K2+PunfnUMm~z!f`qw[vrf|lQ:QRIAD^6026YhWDsS??Po5c8Q5)ngdeoyakP0.KLIjbrdlUHckPI0-jjqtXk}xgSD? UrkmcZ@f{}$mm~zPsucwaZ@TEVLMh<:k;T2,mjkhl|fnS=!FOLmgqicXKfxnSD? iovq[frudVC:#X}fnf]Eevr)nhyS~zntd]EWHYANm;&Ec:j;T2,mjkhl|fnS=!FOLmgqicXKfxnSD? iovq[frudVC:#X}fnf]Eevr)nhyS~zntd]EWHYANm;&Ec?;a:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwvZeszeUB="[|ioe\Bdus&okxxR}{aug\BVKXNOn98i5Z0.klijbrdlU;#DABoewoaZEhzlUB="gats]`pwjXA8%^d`h_Gcpp+`f{}Uxxlzj_GQN[C@c:$Ce8h5Z0.klijbrdlU;#DABoewoaZEhzlUB="gats]`pwjXA8%^d`h_Gcpp+`f{}Uxxlzj_GQN[C@c:$Ce=9k4U1-jkhic}eoT<"G@Mnfvh`YDg{oTE:i;T2,mjkhl|fnS=!FOLmgqicXKfxnSD? iovq[frudVC:#X}fnf]Eevr)nhyS~zntd]EWHYANm8&Ec=>449V4*ohefn~`hQ?/HMNkaskmVId~hQF1.kmpwYd|{fTE548Q5)ngdeoyakP0.KLIjbrdlUHckPI0-jjqtXk}xgSD? UrkmcZ@f{}$bby|Paefq[l:697>>7X> inol`pjbW9%BC@akumg\GjtbW@;$ecz}_bvqhZO6'\ybbjQIarv-mkruWhno~Rg31?66?P6(afgdhxbj_1-JKHic}eoTOb|j_H3,mkruWj~y`RG>/TqjjbYAiz~%ecz}_`fgvZo;:7>>7X> inol`pjbW9%BC@akumg\GjtbW@;$ecz}_bvqhZO6'\ybbjQIarv-mkruWhno~Rg33?66?P6(afgdhxbj_1-JKHic}eoTOb|j_H3,mkruWj~y`RG>/TqjjbYAiz~%ecz}_`fgvZo;<7>>7X> inol`pjbW9%BC@akumg\GjtbW@;$ecz}_bvqhZO6'\ybbjQIarv-mkruWhno~Rg35?66?P6(afgdhxbj_1-JKHic}eoTOb|j_H3,mkruWj~y`RG>/TqjjbYAiz~%ecz}_`fgvZo;>7>>7X> inol`pjbW9%BC@akumg\GjtbW@;$ecz}_bvqhZO6'\ybbjQIarv-mkruWhno~Rg37?66?P6(afgdhxbj_1-JKHic}eoTOb|j_H3,mkruWj~y`RG>/TqjjbYAiz~%ecz}_`fgvZo;07>>7X> inol`pjbW9%BC@akumg\GjtbW@;$ecz}_bvqhZO6'\ybbjQIarv-mkruWhno~Rg39?67?P6(afgdhxbj_1-JKHic}eoTOb|j_H3,mkruWj~y`RG>/TqjjbYAiz~%ecz}_`fgvZoX8=>0Y=!folmgqicX8&CDAbjzld]@kwcXA8%bby|Pcupo[L7(]zcekRHnsu,jjqtXimnySdQ>449V4*ohefn~`hQ?/HMNkaskmVId~hQF1.kmpwYd|{fTE459V4*ohefn~`hQ?/HMNkaskmVId~hQF1.kmpwYd|{fTE:;;T2,mjkhl|fnS=!FOLmgqicXKfxnSD? iovq[frudVC:#X}fnf]Eevr)ag~ySljkr^k\012<]9%bc`akumg\4*OHEfn~`hQLosg\M4)nf}xToy|c_H3,QvoioVLjy fnup\eabuW`U>895Z0.klijbrdlU;#DABoewoaZEhzlUB="gats]`pwjXA8%^d`h_Gcpp+oi|{Ujhi|Pi^470>S7'`efci{ce^2,MJKhl|fnSNa}e^K2+lhszVi~aQF1.WpmkaXNhy"d`{r^cg`wYnW>>?7X> inol`pjbW9%BC@akumg\GjtbW@;$ecz}_bvqhZO6'\ybbjQIarv-mkruWhno~RgP8568Q5)ngdeoyakP0.KLIjbrdlUHckPI0-jjqtXk}xgSD? UrkmcZ@f{}$bby|Paefq[lY><=1^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&cexQltsn\M4)R{`dlSKo|t/ov|Ztb{5:50?0<6:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwvZeszeUB="Qa036:[lhq;>1^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&cexQltsn\M4)Xf98?5Rgav015?P6(afgdhxbj_1-JKHic}eoTOb|j_H3,mkruWj~y`RG>/^l3633Xag|8;6[?/hmnkaskmV:$EBC`dtnf[FiumVC:#d`{r^awviYN9&Ue22c8Q5)ngdeoyakP0.KLIjbrdlUHckPI0-jjqtXk}xgSD? chgq[44Xzz~{cy=<;T2,mjkhl|fnS=!FOLmgqicXKfxnSD? iovq[frudVC:#ngbr^317d=R8&cdabjzld]3+LIJgmgiRM`rd]J5*oi|{UhxbPI0-`mhtX9;Uyy~`t278Q5)ngdeoyakP0.KLIjbrdlUHckPI0-jjqtXk}xgSD? chrq84499=>0Y=!folmgqicX8&CDAbjzld]@kwcXA8%bby|Pcupo[L7(k`zySjPdgd\eij\8T$mhQf449V4*ohefn~`hQ?/HMNkaskmVId~hQF1.kmpwYd|{fTE0\,e`wYn<<1^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&cexQltsn\M4)dayxT~iQkfg]bhi]69T$mhQf449V4*ohefn~`hQ?/HMNkaskmVId~hQF1.kmpwYd|{fTE2\,e`wYn<<1^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&cexQltsn\M4)dayxT~iQkfg]bhi]6;T$mhQf449V4*ohefn~`hQ?/HMNkaskmVId~hQF1.kmpwYd|{fTE4\,e`wYn<<1^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&cexQltsn\M4)dayxT~iQkfg]bhi]6=T$mhQf449V4*ohefn~`hQ?/HMNkaskmVId~hQF1.kmpwYd|{fTE6\,e`wYn<<1^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&cexQltsn\M4)dayxT~iQkfg]bhi]6?T$mhQf449V4*ohefn~`hQ?/HMNkaskmVId~hQF1.kmpwYd|{fTE8\,e`wYn<<1^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&cexQltsn\M4)dayxT~iQkfg]bhi]61T$mhQf459V4*ohefn~`hQ?/HMNkaskmVId~hQF1.kmpwYd|{fTE]/dgvZo3=2_;#daboewoaZ6(AFGdhxbj_BmqaZO6'`d~Rm{rm]J5*enx{UyhRjif^coh^47U'lo~Rg;5:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwvZeszeUB="mfps]q`ZbanVkg`V<>]/dgvZo3=2_;#daboewoaZ6(AFGdhxbj_BmqaZO6'`d~Rm{rm]J5*enx{UyhRjif^coh^45U'lo~Rg;5:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwvZeszeUB="mfps]q`ZbanVkg`V<<]/dgvZo3=2_;#daboewoaZ6(AFGdhxbj_BmqaZO6'`d~Rm{rm]J5*enx{UyhRjif^coh^43U'lo~Rg;5:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwvZeszeUB="mfps]q`ZbanVkg`V<:]/dgvZo3=2_;#daboewoaZ6(AFGdhxbj_BmqaZO6'`d~Rm{rm]J5*enx{UyhRjif^coh^41U'lo~Rg;5:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwvZeszeUB="mfps]q`ZbanVkg`V<8]/dgvZo3=2_;#daboewoaZ6(AFGdhxbj_BmqaZO6'`d~Rm{rm]J5*enx{UyhRjif^coh^4?U'lo~Rg;5:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwvZeszeUB="mfps]q`ZbanVkg`V<6]/dgvZo3<2_;#daboewoaZ6(AFGdhxbj_BmqaZO6'`d~Rm{rm]J5*enx{UyhRjif^coh^4Z&onySd::;T2,mjkhl|fnS=!FOLmgqicXKfxnSD? iovq[frudVC:#ngr^pg[a`aWhfgW>>R.gfq[l223\:$ebc`dtnf[5)NGDeoyakPCnpf[L7(ag~ySnz}l^K2+fowzVxoSihi_`no_67Z&onySd:;;T2,mjkhl|fnS=!FOLmgqicXKfxnSD? iovq[frudVC:#ngr^pg[a`aWhfgW>S!fep\m12<]9%bc`akumg\4*OHEfn~`hQLosg\M4)nf}xToy|c_H3,glvuW{nThkhPamnX0X(al{Ub895Z0.klijbrdlU;#DABoewoaZEhzlUB="gats]`pwjXA8%he}|Pre]gbcYfdeQ>Q#hkr^k70>S7'`efci{ce^2,MJKhl|fnSNa}e^K2+lhszVi~aQF1.ajtwYulVnmjRoclZ4^*cbuW`>?7X> inol`pjbW9%BC@akumg\GjtbW@;$ecz}_bvqhZO6'jc{~R|k_ede[djkS>W%ji|Pi568Q5)ngdeoyakP0.KLIjbrdlUHckPI0-jjqtXk}xgSD? chrq[wbXlolTmabT8\,e`wYn<=1^<"g`mnfvh`Y7'@EFci{ce^Alv`YN9&cexQltsn\M4)dayxT~iQkfg]bhi]>U'lo~Rg<3:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwvZeszeUB="mfss]266e<]9%bc`akumg\4*OHEfn~`hQLosg\M4)nf}xToy|c_H3,gluuW88Tka{j_rgw76=R8&cdabjzld]3+LIJgmgiRM`rd]J5*oi|{UhxbPI0-`mst;:7997X> inol`pjbW9%BC@akumg\GjtbW@;$ecz}_bvqhZO6'jc}~R<<9:W3+lijgmgiR> INOl`pjbWJeyiRG>/hlwvZeszeUB="mfvs]1[wusxf~8;6[?/hmnkaskmV:$EBC`dtnf[FiumVC:#d`{r^awviYN9&xxxRmfps]q`6><]9%bc`akumg\4*OHEfn~`hQLosg\M4)nf}xToy|c_H3,vvrXk`zySj>349V4*ohefn~`hQ?/MOA[WcflZ~ycokPLL@\Eabu[}xdnhQNNE]064Q`1248Q5)ngdeoyakP0.NNFZQua}oXxame^NNFZGcl{Y~blj_@LG[656Wf9<7X> inol`pjbW9%GAOQXrhvfWqthjlUGAOQNdepPpwiemVKEHR=<1^m25c=R8&cdabjzld]3+HkrpVm{c inol`pjbW9%FaxvPgqm7[rtn|l;:>95Z0.klijbrdlU;#@czx^kmpfYpz`~nSngbr0316>S7'`efci{ce^2,IhsW|kyxRzw_vpjp`769>1^<"g`mnfvh`Y7'ZfT^hoky03;?P6(afgdhxbj_1-dtj7X{ci<64U1-jkhic}eoT<"io3]tvlrb911^<"g`mnfvh`Y7'nzd?Ry}iug2<>S7'`efci{ce^2,cui3W~xbxh?k;T2,mjkhl|fnS=!fnua\swosmViba?m;T2,mjkhl|fnS=!cmc]bfpuXY5:5=o5Z0.klijbrdlU;#acm_``vwZW;97;i7X> inol`pjbW9%gaoQnbtq\U9499k1^<"g`mnfvh`Y7'egiSllzs^S?7;7e3\:$ebc`dtnf[5)kekUjnx}PQ=6=5g=R8&cdabjzld]3+ikeWhh~R_35?3b?P6(afgdhxbj_1-oigYfj|yT]R>>a:W3+lijgmgiR> ll`\egstWXU:=l5Z0.klijbrdlU;#acm_``vwZWX:8k0Y=!folmgqicX8&ffnRomur]R[67f3\:$ebc`dtnf[5)kekUjnx}PQ^62e>S7'`efci{ce^2,hhdXikxS\Q:1`9V4*ohefn~`hQ?/moa[wc`W{ojh inol`pjbW9%~mzPty]tvlrb9k1^<"g`mnfvh`Y7'~xbxhQkauc?4;7d3\:$ebc`dtnf[5)pz`~nSio{a=33:4e<]9%bc`akumg\4*qua}oThlzn<03=5f=R8&cdabjzld]3+rtn|lUomyo313<2g>S7'`efci{ce^2,swosmVnjxl2>3?3`?P6(afgdhxbj_1-tvlrbWmkm1?;>0a8Q5)ngdeoyakP0.uqmqcXlh~j0<;11b9V4*ohefn~`hQ?/vpjp`Yci}k7=;0>c:W3+lijgmgiR> wskwaZbf|h6:;3?l;T2,mjkhl|fnS=!xrhvf[agsi5;32 inol`pjbW9%|~dzj_ecwe94768i0Y=!folmgqicX8&}yeykPd`vb87799j1^<"g`mnfvh`Y7'~xbxhQkauc?6786k2_;#daboewoaZ6({ciRjnt`>17;7d3\:$ebc`dtnf[5)pz`~nSio{a=07:4e<]9%bc`akumg\4*qua}oThlzn<37=5f=R8&cdabjzld]3+rtn|lUomyo327<2g>S7'`efci{ce^2,swosmVnjxl2=7?3`?P6(afgdhxbj_1-tvlrbWmkm1<7>0a8Q5)ngdeoyakP0.uqmqcXlh~j0?711c9V4*ohefn~`hQ?/vpjp`Yci}k7>3?l;T2,mjkhl|fnS=!xrhvf[agsi59;2 inol`pjbW9%|~dzj_ecwe9299k1^<"g`mnfvh`Y7'~xbxhQkauc?1;7e3\:$ebc`dtnf[5)pz`~nSio{a=4=5g=R8&cdabjzld]3+rtn|lUomyo37?3a?P6(afgdhxbj_1-tvlrbWmkm1611c9V4*ohefn~`hQ?/vpjp`Yci}k753?n;T2,mjkhl|fnS=!xrhvf[agsiV::m6[?/hmnkaskmV:${g{e^fbpdY69k1^<"g`mnfvh`Y7'~xbxhQkauc\557e3\:$ebc`dtnf[5)pz`~nSio{a^325g=R8&cdabjzld]3+rtn|lUomyoP133a?P6(afgdhxbj_1-tvlrbWmkmR?<1c9V4*ohefn~`hQ?/vpjp`Yci}kT=9?m;T2,mjkhl|fnS=!xrhvf[agsiV;>=o5Z0.klijbrdlU;#z|ftd]geqgX9?;i7X> inol`pjbW9%|~dzj_ecweZ709k1^<"g`mnfvh`Y7'~xbxhQkauc\5=7e3\:$ebc`dtnf[5)pz`~nSio{a^3:5d=R8&cdabjzld]3+rtn|lUomyoP20`8Q5)ngdeoyakP0.uqmqcXlh~jS?>>b:W3+lijgmgiR> wskwaZbf|hU9=S7'`efci{ce^2,swosmVnjxlQ=70`8Q5)ngdeoyakP0.uqmqcXlh~jS?6>b:W3+lijgmgiR> wskwaZbf|hU95 inol`pjbW9%|~dzj_ecweZ579k1^<"g`mnfvh`Y7'~xbxhQkauc\747f3\:$ebc`dtnf[5)pz`~nSio{a^62e>S7'`efci{ce^2,swosmVnjxlQ:1`9V4*ohefn~`hQ?/vpjp`Yci}kT:;j7X> inol`pjbW9%|~dzj_ecweZ>6i2_;#daboewoaZ6({ciRjnt`]:7f=R8&ffnRl}al]J+WGJW@dxx"[|ioe\F40X[=$_dhQMR@Op_5[)ZHGI=;Q\4^372>S7'egiSo|nm^K,VDKXAgy#X}fnf]A53YT<'^ciRL]ALqX4X(UIDH::R];_0]jjvski}o;8;5Z0.nnfZduidUB#_OB_Hlpp*StagmTN<8PS5,Wl`YEZHGxW=S!R@OA53YT%XekPBSCNw^7Z&[KFN<8PS5]203=R8&ffnRl}al]J+WGJW@dxx"[|ioe\F40X[=$_dhQMR@Op_4[)ZHGI=;Q\4^3\mkurdh~n=984U1-oigYezhgTE"\NM^Kmwq)R{`dlSO?9_R6-PmcXJ[KFV?R.SCNF40X[=U:Sd`|umcwa35d3\:$``lPbscn[L)UIDUBb~z UrkmcZD6>VY?"Yfj_CPBIv]5U'XJAO?9_R6\510<]9%gaoQmr`o\M*TFEVCey!Zshld[G71WZ>%XekPBSCNw^4Z&[KFN<8PS5]2[lht}eki?:9;T2,hhdXj{kfSD!]AL]Jjvr(]zcekRL>6^Q7*QnbWKXJA~U=]/PBIG71WZ>T=Rgastnbp`34k2_;#acm_cpbiZO(ZHGTEc}{/TqjjbYE9?UX8#Zge^@QEHu\;T$YM@L>6^Q7[4213\:$``lPbscn[L)UIDUBb~z UrkmcZD6>VY?"Yfj_CPBIv]4U'XJAO?9_R6\5Zoi{|fjxh=;6:W3+ikeWkxjaRG R@O\Mkus'\ybbjQM17]P0+RomVHYM@}T3\,QEHD6>VY?S2_;#acm_cpbiZO(ZHGTEc}{/TqjjbYE9?UX8#Zge^@QEHu\6^Q7[4Ynfzgmyk<479V4*jjjVhym`QF/SCN[Lht|&_xeciPB04\W1(S`lUI^LC|[5_-VDKE9?UX8R?Pioqvhdrb<:i0Y=!cmc]avdkXA&XJARGasu-Vwlh`WK;=S^:!Tig\FWGJ{R?V"_OBB04\W1Y6_hlpqigsm<9h7X> ll`\fwgjW@%YM@QFnrv,QvoioVH::R];.Ujf[GTFEzQ=Q#\NMC35[V2X9=<0Y=!cmc]avdkXA&XJARGasu-Vwlh`WK;=S^:!Tig\FWGJ{R=7X> ll`\fwgjW@%YM@QFnrv,QvoioVH::R];.Ujf[GTFEzQS7'egiSo|nm^K,VDKXAgy#X}fnf]A53YT<'^ciRL]ALqX3X(UIDH::R];_0]jjvski}o<:n5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+D~|lUOcxlceu]KekbkmVC$Y~gag^DSCD(AXNKT@XZ9_Ucqc`r)jp~nS~kcebvp[lYNFZ^=46[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*GsmVNdyobjt^JbjajbW@%^d`h_GRDE+@WOHUGYY8PT`pdaq(kg~Ujhi|Pi^KMWQ0a3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Bxvf[AirjeoSEoadmg\M*StagmTJ]IN.GRDEZJR\?U_mijt^CG@W(KG^UJHI\POTV\HPR1>?1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/@zp`YCg|hgiyQGaofoaZO(]zcekRH_G@,ETBGXD\^=SYo}gdv\F@(EQ]OT mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-F|rbWMe~nak{_Icm`icXA&_xeciPFQEB*PubWMsem`gl_Cwp[Vo}agm%BbzPUrkmcZTb~lxxiRCjm^Kmwqt)Lh~jS_knd^Qwa`tnfnUB#K^HA^NVP3YSi{mnx#IJN^NLKU]7U'HRXH][EDP\HPR1jk1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/@zp`YCg|hgiyQGaofoaZO(]zcekRH_G@,Vw`YCqgkfenQMur]Pmoio'DdxR[|ioe\V`pbzzoTAhcPIoqwv+Bf|hUYiljPSugfvlh`W@%M\JOPLTV5[Qguol~%KH@PLNMS_4[)JP^N_YKJR^NVP3de3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Bxvf[AirjeoSEoadmg\M*StagmTJ]IN.Tqf[AiidchSO{|_Rkymka)Ff~TY~gag^Pfr`ttmVGnaRGasup-@drfW[ojhR]{edpjjbYN'OZLMRBZT7]Wewab|'MNBRB@OQY1Y+D^\LY_IH\PLTV5fg=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Lvtd]Gkpdkm}UCmcjce^K,QvoioVL[KL Zsd]G}kgjajUIy~Q\i{kmc+Hh|V_xeciPRdtfvvcXElgTEc}{r/FbpdYUmhnT_ykjrhld[L)AXNKT@XZ9_Ucqc`r)OLDT@BA_[2_-F\RB[]ON^RBZT7`a?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Ntzj_EmvficsWAkehakPI.WpmkaXNYMJ"X}j_E{mehodWKxS^guioe-JjrX]zcekR\jvdppaZKbeVCey|!D`vb[WcflVYih|fnf]J+CV@IVF^X;Q[asefp+ABFVFDC]U;]/@ZP@USMLXT@XZ9bc9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'HrxhQKot`oaqYOigngiRG UrkmcZ@WOH$^hQKyocnmfYE}zUXewgag/LlpZStagmT^hxjrrg\I`kXAgy~#Jnt`]QadbX[}on~d`h_H-ETBGXD\^=SYo}gdv-C@HXDFE[W8S!BXVFWQCBZVF^X;lm;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Jp~nSIazbmgw[MgileoTE"[|ioe\BUAF&\ynSIwaalk`[GstWZcqeci!Nnv\QvoioVXnzh||e^OfiZOi{}x%Hlzn_Sgb`ZUsmlxbbjQF/GRDEZJR\?U_mijt/EFJZJHGYQ=Q#LVTDQWA@TXD\^=no5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+D~|lUOcxlceu]KekbkmVC$Y~gag^DSCD(R{lUOucobib]AqvYTascek#@`t^WpmkaXZl|n~~kPMdo\Mkusz'NjxlQ]e`f\Wqcbz`dlSD!IPFC\HPR1W]kykhz!GDL\HJIWS>W%NTZJSUGFVZJR\?i;7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-F|rbWMe~nak{_Icm`icXA&_xeciPFQEB*PubWMsem`gl_Cwp[Vo}agm%BbzPUrkmcZTb~lxxiRCjm^Kmwqt)MQ^THLZN_VPJP@YJ]QUF_OQF/GRDEZJR\?U_mijt/EFJ1YKGFZP

4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Eq}oTHb{mldv\LdhcdlUB#X}fnf]ETBG)]zoTHt`nmha\FpuX[`pbbj Aou]Vwlh`W[o}i}j_Lgn[Lht|{$NTYQKAUC\SWOSMVG^TRC\B^K,BUAFWE__:RZnrfgw*BCI]/@ZP@USMLXT@XZ9c19V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'HrxhQKot`oaqYOigngiRG UrkmcZ@WOH$^hQKyocnmfYE}zUXewgag/LlpZStagmT^hxjrrg\I`kXAgy~#KWT^FBPDYPZ@^NS@[W_LQA[L)AXNKT@XZ9_Ucqc`r)OLD?SAA@PZ0^*G_SMZ^NI_QCUU4`4>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ow{e^Flqgjb|VBjbibj_H-Vwlh`WOZLM#[|e^FzjdknkVH~R]fzhld*KisW\ybbjQ]ewgqw`YJmdUBb~z}.DZW[AGSIV]YEYKPMTZ\IVDXA&L[KLQCUU4\Pdt`m}$LIC:PLNMS_6[)JP^N_YKJR^NVP3e73\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Bxvf[AirjeoSEoadmg\M*StagmTJ]IN.Tqf[AiidchSO{|_Rkymka)Ff~TY~gag^Pfr`ttmVGnaRGasup-A]RXLH^JSZ\FTD]NQ]YJ[KUB#K^HA^NVP3YSi{mnx#IJN5]OKJV\j:0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,A}qcXLfi`hzPH`lgh`YN'\ybbjQIPFC-QvcXLpdjadmPBtq\Wl|nfn$EcyQZshld[Wcqm{ynS@kb_Hlppw(BP]UOMYOPWSKWAZKRPVGXNRG FQEB[ISS>V^j~jk{.FGM0ZJHGYQ>Q#LVTDQWA@TXD\^=o=5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+D~|lUOcxlceu]KekbkmVC$Y~gag^DSCD(R{lUOucobib]AqvYTascek#@`t^WpmkaXZl|n~~kPMdo\Mkusz'OSXRJNT@]TVLRBWD_SS@]M_H-ETBGXD\^=SYo}gdv-C@H3WEED\V8R.C[WAVRBM[UGYY8l0:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&KsiRJ`ucnfpZNffmfnSD!Zshld[CV@I'_xiRJvn`ojgZDr{VYbvd`h.Omw[PunfnUYi{k}sd]NahYNfz~y"HV[_ECWEZQUA]OTAXVPMR@\M*@WOHUGYY8PT`pdaq(@MG>T@BA_[6_-F\RB[]ON^RBZT7;f?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Ntzj_EmvficsWAkehakPI.WpmkaXNYMJ"X}j_E{mehodWKxS^guioe-JjrX]zcekR\jvdppaZKbeVCey|!FQEB[ISS>V^j~jk{_Sgb`Vck&[OJHR]JL^Kbg>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ow{e^Flqgjb|VBjbibj_H-Vwlh`WOZLM#[|e^FzjdknkVH~R]fzhld*KisW\ybbjQ]ewgqw`YJmdUBb~z}.GRDEZJR\?U_mijt^UG[4(@MG>T@BA_[1_-F\RB[]ON^RBZT7c`?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Ntzj_EmvficsWAkehakPI.WpmkaXNYMJ"X}j_E{mehodWKxS^guioe-JjrX]zcekR\jvdppaZKbeVCey|!FQEB[ISS>V^j~jk{_VF\5+ABF=UGCB^T1\,A]QCT\LOYSA[[6`a8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$IuykPDnwah`rX@hdo`hQF/TqjjbYAXNK%Y~kPDxlbileXJ|yT_dtfnf,MkqYR{`dlS_kyesqf[HcjW@dxx IPFC\HPR1W]kykhzPWE]2*BCI3:1e<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Myug\@jsedl~TDl`kld]J+gsmVyn`hm{s=3=0d=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Lvtd]Gkpdkm}UCmcjce^K,hjqXimnySd2?>5c8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$IuykPDnwah`rX@hdo`hQF/mmt[dbczVc7=3:8;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Jp~nSIazbmgw[MgileoTE"}jl^NPF969<>1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/@zp`YCg|hgiyQGaofoaZO({lfT@^L31?72?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Ntzj_EmvficsWAkehakPI.qfhZQua}oTAxvPMR@?4;363\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Bxvf[AirjeoSEoadmg\M*ubdV]yeykPMtz\IVD;972;7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG AMW\M*@WOHU_mijt/COHZDn|zQ;Q#OCU^@jpZO6'\ybbjQIPFC\HPR1&EkxxRLft/K\EISXD\^T>5?4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-BHPYN'OZLMRZnrfgw*DJKWKcV>R.@NV[GosW@;$Y~gag^DSCDYK]]<%@l}{_Ckw*LYFD\UGYYQY56d8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)FD\UB#K^HA^Vbvbcs&HFGSOg{sZ2^*DJRWKcSD? UrkmcZ@WOHUGYY8!L`qw[Gos&D_GXROAD^K4g>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'HF^SD!IPFC\Pdt`m}$J@AQMiuqX4X(FD\UIeyQF1.WpmkaXNYMJSA[[6/NbwqYEa}$FYUMV_H:3?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(IE_TE"H_G@]Wewab|'KG@RLftrY3Y+GK]VHbxRG>/TqjjbYAXNKT@XZ9.McppZDn|'ZyiRCZXB[\M2g<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%J@XQF/GRDEZRfzno"LBC_Ckww^6Z&HF^SOg{_H3,QvoioVL[KLQCUU4-HdusWKc"UA]_H:2?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(IE_TE"H_G@]Wewab|'KG@RLftrY3Y+GK]VHbxRG>/TqjjbYAXNKT@XZ9.McppZDn|'kgyROkdRwa[4>53\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$MA[PI.DSCDYSi{mnx#OCL^@jpv]7U'KGYRLft^K2+PunfnUM\JOPLTV5*Igt|VHbx#gavdpw[wct}e~386[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/@NV[L)AXNKTXl|heu,BHIYEa}yP

'FjyQMiu,nelhsi`dTdia_o:6?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(IE_TE"H_G@]Wewab|'KG@RLftrY3Y+GK]VHbxRG>/TqjjbYAXNKT@XZ9.McppZDn|'gjecznio]pmbhXf8<=7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG AMW\M*@WOHU_mijt/COHZDn|zQ;Q#OCU^@jpZO6'hf~SLjkSt`50>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'HF^SD!IPFC\Pdt`m}$J@AQMiuqX4X(FD\UIeyQF1.ms6ZotW82=7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG AMW\M*@WOHU_mijt/COHZDn|zQ:

'DdxRBnsu]Amq(NWHF^SA[[_W7;5>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'HF^SD!IPFC\Pdt`m}$J@AQMiuqX55[)IE_TNdzPI0-Vwlh`WOZLMRBZT7,MkqYKiz~TNdz!MTZ@]ZO0n2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#LBZ_H-ETBGX\hxliy NLM]Amqu\99W%MA[PBhv\M4)R{`dlSK^HA^NVP3(Ig}UGm~zPBhv-\JTXA?=0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!NLT]J+CV@IV^j~jk{.@NO[Gos{R;;Q#OCU^@jpZO6'hf~SLjkSt`51>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'HF^SD!IPFC\Pdt`m}$J@AQMiuqX55[)IE_TNdzPI0-lt7Yn{V;3:6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/@NV[L)AXNKTXl|heu,BHIYEa}yP= mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG AMW\M*@WOHU_mijt/COHZDn|zQ:=P NLT]AmqYN9&kgyROkdRwa20=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&KGYRG FQEB[Qguol~%MABPBhvp_47Z&HF^SOg{_H3,ku4XazU:4;5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.COQZO(NYMJSYo}gdv-EIJXJ`~xW<l0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!NLT]J+CV@IV^j~jk{.@NO[Gos{R;9Q#OCU^@jpZO6'\ybbjQIPFC\HPR1&GeSAo|t^@jp+^HZVC=;6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/@NV[L)AXNKTXl|heu,BHIYEa}yP=?S!AMW\FlrXA8%j`xQNdeQvf33<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%J@XQF/GRDEZRfzno"LBC_Ckww^75U'KGYRLft^K2+jv5W`yT=584U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-BHPYN'OZLMRZnrfgw*DJKWKcV?<]/COQZDn|VC:#X}fnf]ETBGXD\^="Ca{_McppZDn|'CTMA[PLTV\R0>63\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$MA[PI.DSCDYSi{mnx#OCL^@jpv]6;T$J@XQMiu]J5*StagmTJ]IN_MWW2+Hh|VFjyQMiu,NQ]E^W@=m7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG AMW\M*@WOHU_mijt/COHZDn|zQ:?P NLT]AmqYN9&_xeciPFQEB[ISS>'DdxRBnsu]Amq(_G[UB::5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.COQZO(NYMJSYo}gdv-EIJXJ`~xW<=R.@NV[GosW@;$ma{PAefPqg023\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$MA[PI.DSCDYSi{mnx#OCL^@jpv]6;T$J@XQMiu]J5*iw:VcxS<69;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,EISXA&L[KLQ[asefp+GKDVHbx~U>4\,BHPYEa}UB="[|ioe\BUAFWE__:#@`t^NbwqYEa}$BSLBZ_MWW[S3?92_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#LBZ_H-ETBGX\hxliy NLM]Amqu\9=W%MA[PBhv\M4)R{`dlSK^HA^NVP3(Ig}UGm~zPBhv-IP^DQVC5\,BHPYEa}UB="ocu^Cg`Vse><1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"OCU^K,BUAFW]kykhz!AMN\FlrtS8?V"LBZ_Ckw[L7(gy8Te~Q>879V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*GK]VC$J]IN_Ucqc`r)IEFTNdz|[04^*DJRWKcSD? UrkmcZ@WOHUGYY8!Nnv\HdusWKc"DQNLT]OQQYQ=1;0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!NLT]J+CV@IV^j~jk{.@NO[Gos{R;=Q#OCU^@jpZO6'\ybbjQIPFC\HPR1&GeSAo|t^@jp+KRPJSTE:h4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-BHPYN'OZLMRZnrfgw*DJKWKcV?9]/COQZDn|VC:#X}fnf]ETBGXD\^="Ca{_McppZDn|'RD^RG97:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+DJRW@%M\JOPT`pdaq(FDEUIey}T17_-EISXJ`~TE/TqjjbYAXNKT@XZ9.Omw[Igt|VHbx#GPAMW\HPRX^<2:7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG AMW\M*@WOHU_mijt/COHZDn|zQ:;P NLT]AmqYN9&_xeciPFQEB[ISS>'DdxRBnsu]Amq(J]QIRSD9i;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,EISXA&L[KLQ[asefp+GKDVHbx~U>7\,BHPYEa}UB="[|ioe\BUAFWE__:#@`t^NbwqYEa}$SC_QF669V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*GK]VC$J]IN_Ucqc`r)IEFTNdz|[05^*DJRWKcSD? amw\EabT}k<>7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG AMW\M*@WOHU_mijt/COHZDn|zQ:;P NLT]AmqYN9&e{>Rg|_0:5?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(IE_TE"H_G@]Wewab|'KG@RLftrY2/`nv[Dbc[|h=96[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/@NV[L)AXNKTXl|heu,BHIYEa}yP=5S!AMW\FlrXA8%d|?Qfs^3;2>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'HF^SD!IPFC\Pdt`m}$J@AQMiuqX5<[)IE_TNdzPI0-Vwlh`WOZLMRBZT7,MkqYKiz~TNdz!I^COQZJR\V\>4<5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.COQZO(NYMJSYo}gdv-EIJXJ`~xW<7R.@NV[GosW@;$Y~gag^DSCDYK]]<%BbzPL`qw[Gos&D_SOTQF7g9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*GK]VC$J]IN_Ucqc`r)IEFTNdz|[0;^*DJRWKcSD? UrkmcZ@WOHUGYY8!Nnv\HdusWKc"UA]_H44?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(IE_TE"H_G@]Wewab|'KG@RLftrY2=X(FD\UIeyQF1.coqZGclZi:85Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.COQZO(NYMJSYo}gdv-EIJXJ`~xW<7R.@NV[GosW@;$c}4=5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.COQZO(NYMJSYo}gdv-EIJXJ`~xW0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!NLT]J+CV@IV^j~jk{.@NO[Gos{R;V"LBZ_Ckw[L7(gy8Te~Q>879V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*GK]VC$J]IN_Ucqc`r)IEFTNdz|[32^*DJRWKcSD? UrkmcZ@WOHUGYY8!Nnv\HdusWKc"DQNLT]OQQYQ=1;0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!NLT]J+CV@IV^j~jk{.@NO[Gos{R8;Q#OCU^@jpZO6'\ybbjQIPFC\HPR1&GeSAo|t^@jp+KRPJSTE:h4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-BHPYN'OZLMRZnrfgw*DJKWKcV/TqjjbYAXNKT@XZ9.Omw[Igt|VHbx#GPAMW\HPRX^<2:7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG AMW\M*@WOHU_mijt/COHZDn|zQ9=P NLT]AmqYN9&_xeciPFQEB[ISS>'DdxRBnsu]Amq(J]QIRSD9i;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,EISXA&L[KLQ[asefp+GKDVHbx~U=1\,BHPYEa}UB="[|ioe\BUAFWE__:#@`t^NbwqYEa}$SC_QF669V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*GK]VC$J]IN_Ucqc`r)IEFTNdz|[33^*DJRWKcSD? amw\EabT}k<>7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG AMW\M*@WOHU_mijt/COHZDn|zQ9=P NLT]AmqYN9&e{>Rg|_0:5?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(IE_TE"H_G@]Wewab|'KG@RLftrY16X(FD\UIeyQF1.WpmkaXNYMJSA[[6/LlpZJf{}UIey F_@NV[ISSW_?3=6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/@NV[L)AXNKTXl|heu,BHIYEa}yP>?S!AMW\FlrXA8%^d`h_GRDEZJR\?$EcyQCarv\Flr)E\RHURG8f:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+DJRW@%M\JOPT`pdaq(FDEUIey}T23_-EISXJ`~TE/`nv[Dbc[|h=96[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/@NV[L)AXNKTXl|heu,BHIYEa}yP>?S!AMW\FlrXA8%d|?Qfs^3;2>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'HF^SD!IPFC\Pdt`m}$J@AQMiuqX66[)IE_TNdzPI0-Vwlh`WOZLMRBZT7,MkqYKiz~TNdz!I^COQZJR\V\>4<5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.COQZO(NYMJSYo}gdv-EIJXJ`~xW?=R.@NV[GosW@;$Y~gag^DSCDYK]]<%BbzPL`qw[Gos&D_SOTQF7g9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*GK]VC$J]IN_Ucqc`r)IEFTNdz|[31^*DJRWKcSD? UrkmcZ@WOHUGYY8!Nnv\HdusWKc"UA]_H44?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(IE_TE"H_G@]Wewab|'KG@RLftrY17X(FD\UIeyQF1.coqZGclZi:85Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.COQZO(NYMJSYo}gdv-EIJXJ`~xW?=R.@NV[GosW@;$c};T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,EISXA&L[KLQ[asefp+GKDVHbx~U=5\,BHPYEa}UB="[|ioe\BUAFWE__:#@`t^NbwqYEa}$FYUMV_H5e?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(IE_TE"H_G@]Wewab|'KG@RLftrY11X(FD\UIeyQF1.WpmkaXNYMJSA[[6/LlpZJf{}UIey WOS]J22=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&KGYRG FQEB[Qguol~%MABPBhvp_73Z&HF^SOg{_H3,eisXImnXyo8:;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,EISXA&L[KLQ[asefp+GKDVHbx~U=5\,BHPYEa}UB="a2^kp[4>13\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$MA[PI.DSCDYSi{mnx#OCL^@jpv]5>T$J@XQMiu]J5*StagmTJ]IN_MWW2+Hh|VFjyQMiu,J[DJRWE__S[;71:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+DJRW@%M\JOPT`pdaq(FDEUIey}T27_-EISXJ`~TES7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'HF^SD!IPFC\Pdt`m}$J@AQMiuqX63[)IE_TNdzPI0-Vwlh`WOZLMRBZT7,MkqYKiz~TNdz!XNP\M31<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%J@XQF/GRDEZRfzno"LBC_Ckww^41U'KGYRLft^K2+djrWHno_xl95:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+DJRW@%M\JOPT`pdaq(FDEUIey}T27_-EISXJ`~TE2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#LBZ_H-ETBGX\hxliy NLM]Amqu\:>W%MA[PBhv\M4)R{`dlSK^HA^NVP3(Ig}UGm~zPBhv-MZGK]VF^XRX:809V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*GK]VC$J]IN_Ucqc`r)IEFTNdz|[35^*DJRWKcSD? UrkmcZ@WOHUGYY8!Nnv\HdusWKc"@[WCX]J3c=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&KGYRG FQEB[Qguol~%MABPBhvp_71Z&HF^SOg{_H3,QvoioVL[KLQCUU4-JjrXDhySOg{.YMQ[L003\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$MA[PI.DSCDYSi{mnx#OCL^@jpv]5?T$J@XQMiu]J5*gk}VKoh^{m649V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*GK]VC$J]IN_Ucqc`r)IEFTNdz|[35^*DJRWKcSD? oq0\mvY60?1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"OCU^K,BUAFW]kykhz!AMN\FlrtS;2V"LBZ_Ckw[L7(]zcekRH_G@]OQQ0)Ff~T@l}{_Ckw*LYFD\UGYYQY5938Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)FD\UB#K^HA^Vbvbcs&HFGSOg{sZ0;Y+GK]VHbxRG>/TqjjbYAXNKT@XZ9.Omw[Igt|VHbx#CZXB[\M2`<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%J@XQF/GRDEZRfzno"LBC_Ckww^4?U'KGYRLft^K2+PunfnUM\JOPLTV5*KisWEkxxRLft/ZLVZO1?2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#LBZ_H-ETBGX\hxliy NLM]Amqu\:1W%MA[PBhv\M4)fd|UJhi]zb778Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)FD\UB#K^HA^Vbvbcs&HFGSOg{sZ0;Y+GK]VHbxRG>/nr1[luX91<0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!NLT]J+CV@IV^j~jk{.@NO[Gos{R82Q#OCU^@jpZO6'\ybbjQIPFC\HPR1&GeSAo|t^@jp+OXIE_T@XZPV4:2?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(IE_TE"H_G@]Wewab|'KG@RLftrY1=X(FD\UIeyQF1.WpmkaXNYMJSA[[6/LlpZJf{}UIey BUYAZ[L1a3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$MA[PI.DSCDYSi{mnx#OCL^@jpv]51T$J@XQMiu]J5*StagmTJ]IN_MWW2+Hh|VFjyQMiu,[KWYN>>1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"OCU^K,BUAFW]kykhz!AMN\FlrtS;3V"LBZ_Ckw[L7(ieTMij\uc46?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(IE_TE"H_G@]Wewab|'KG@RLftrY1=X(FD\UIeyQF1.ms6ZotW82>7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG AMW\M*@WOHU_mijt/COHZDn|zQ9Q#OCU^@jpZO6'\ybbjQIPFC\HPR1&GeSAo|t^@jp+OXIE_T@XZPV4:3?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(IE_TE"H_G@]Wewab|'KG@RLftrY1Y+GK]VHbxRG>/TqjjbYAXNKT@XZ9.Omw[Igt|VHbx#CZXB[\M2c<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%J@XQF/GRDEZRfzno"LBC_Ckww^4Z&HF^SOg{_H3,QvoioVL[KLQCUU4-JjrXDhySOg{.YMQ[L013\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$MA[PI.DSCDYSi{mnx#OCL^@jpv]5U'KGYRLft^K2+djrWHno_xl94:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+DJRW@%M\JOPT`pdaq(FDEUIey}T2\,BHPYEa}UB="a2^kp[4>13\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$MA[PI.DSCDYSi{mnx#OCL^@jpv]48T$J@XQMiu]J5*StagmTJ]IN_MWW2+Hh|VFjyQMiu,J[DJRWE__S[;71:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+DJRW@%M\JOPT`pdaq(FDEUIey}T31_-EISXJ`~TES7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'HF^SD!IPFC\Pdt`m}$J@AQMiuqX75[)IE_TNdzPI0-Vwlh`WOZLMRBZT7,MkqYKiz~TNdz!XNP\M31<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%J@XQF/GRDEZRfzno"LBC_Ckww^57U'KGYRLft^K2+djrWHno_xl95:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+DJRW@%M\JOPT`pdaq(FDEUIey}T31_-EISXJ`~TE2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#LBZ_H-ETBGX\hxliy NLM]Amqu\;8W%MA[PBhv\M4)R{`dlSK^HA^NVP3(Ig}UGm~zPBhv-MZGK]VF^XRX:809V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*GK]VC$J]IN_Ucqc`r)IEFTNdz|[23^*DJRWKcSD? UrkmcZ@WOHUGYY8!Nnv\HdusWKc"@[WCX]J3c=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&KGYRG FQEB[Qguol~%MABPBhvp_67Z&HF^SOg{_H3,QvoioVL[KLQCUU4-JjrXDhySOg{.YMQ[L003\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$MA[PI.DSCDYSi{mnx#OCL^@jpv]49T$J@XQMiu]J5*gk}VKoh^{m649V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*GK]VC$J]IN_Ucqc`r)IEFTNdz|[23^*DJRWKcSD? oq0\mvY60<1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"OCU^K,BUAFW]kykhz!AMN\FlrtS:W%MA[PBhv\M4)R{`dlSK^HA^NVP3(Ig}UGm~zPBhv-MZGK]VF^XRX:819V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*GK]VC$J]IN_Ucqc`r)IEFTNdz|[2_-EISXJ`~TES7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'HF^SD!IPFC\Pdt`m}$J@AQMiuqX7X(FD\UIeyQF1.WpmkaXNYMJSA[[6/LlpZJf{}UIey WOS]J23=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&KGYRG FQEB[Qguol~%MABPBhvp_6[)IE_TNdzPI0-bhpYFlmY~n;:4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-BHPYN'OZLMRZnrfgw*DJKWKcV=R.@NV[GosW@;$c}4=5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.COQZO(NYMJSYo}gdv-EIJXJ`~xW9S!AMW\FlrXA8%^d`h_GRDEZJR\?$EcyQCarv\Flr)E\RHURG8e:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+DJRW@%M\JOPT`pdaq(FDEUIey}T4\,BHPYEa}UB="[|ioe\BUAFWE__:#@`t^NbwqYEa}$SC_QF679V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*GK]VC$J]IN_Ucqc`r)IEFTNdz|[5_-EISXJ`~TE0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!NLT]J+CV@IV^j~jk{.@NO[Gos{R>V"LBZ_Ckw[L7(gy8Te~Q>849V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*GK]VC$J]IN_Ucqc`r)IEFTNdz|[4_-EISXJ`~TE'DdxRBnsu]Amq(_G[UB:;5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.COQZO(NYMJSYo}gdv-EIJXJ`~xW8S!AMW\FlrXA8%j`xQNdeQvf32<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%J@XQF/GRDEZRfzno"LBC_Ckww^3Z&HF^SOg{_H3,ku4XazU:485Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.COQZO(NYMJSYo}gdv-EIJXJ`~xW;S!AMW\FlrXA8%^d`h_GRDEZJR\?$EcyQCarv\Flr)AVKGYRBZT^T6<5=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&KGYRG FQEB[Qguol~%MABPBhvp_3[)IE_TNdzPI0-Vwlh`WOZLMRBZT7,MkqYKiz~TNdz!MTZ@]ZO0m2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#LBZ_H-ETBGX\hxliy NLM]Amqu\>T$J@XQMiu]J5*StagmTJ]IN_MWW2+Hh|VFjyQMiu,[KWYN>?1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"OCU^K,BUAFW]kykhz!AMN\FlrtS?W%MA[PBhv\M4)fd|UJhi]zb768Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)FD\UB#K^HA^Vbvbcs&HFGSOg{sZ4^*DJRWKcSD? oq0\mvY60<1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"OCU^K,BUAFW]kykhz!AMN\FlrtS>W%MA[PBhv\M4)R{`dlSK^HA^NVP3(Ig}UGm~zPBhv-MZGK]VF^XRX:819V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*GK]VC$J]IN_Ucqc`r)IEFTNdz|[6_-EISXJ`~TES7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'HF^SD!IPFC\Pdt`m}$J@AQMiuqX3X(FD\UIeyQF1.WpmkaXNYMJSA[[6/LlpZJf{}UIey WOS]J23=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&KGYRG FQEB[Qguol~%MABPBhvp_2[)IE_TNdzPI0-bhpYFlmY~n;:4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-BHPYN'OZLMRZnrfgw*DJKWKcV9R.@NV[GosW@;$c}4=5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.COQZO(NYMJSYo}gdv-EIJXJ`~xW5S!AMW\FlrXA8%^d`h_GRDEZJR\?$EcyQCarv\Flr)E\RHURG8e:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+DJRW@%M\JOPT`pdaq(FDEUIey}T8\,BHPYEa}UB="[|ioe\BUAFWE__:#@`t^NbwqYEa}$SC_QF679V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*GK]VC$J]IN_Ucqc`r)IEFTNdz|[9_-EISXJ`~TE0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!NLT]J+CV@IV^j~jk{.@NO[Gos{R2V"LBZ_Ckw[L7(gy8Te~Q>849V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*GK]VC$J]IN_Ucqc`r)IEFTNdz|[8_-EISXJ`~TE'DdxRBnsu]Amq(_G[UB:;5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.COQZO(NYMJSYo}gdv-EIJXJ`~xW4S!AMW\FlrXA8%j`xQNdeQvf32<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%J@XQF/GRDEZRfzno"LBC_Ckww^?Z&HF^SOg{_H3,ku4XazU::95Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.COQZO(NYMJSYo}gdv-JjYDi{xrSIkloekmc+Efz{sBbRCZXB[57>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'HF^SD!IPFC\Pdt`m}$EcRMnrs{\@`ehl`dl"HVPC`pq}LhXA?;0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!NLT]J+CV@IV^j~jk{.Om\GdtuqVNnobjfnf,NQIRXIGNTE;l4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-BHPYN'OZLMRZnrfgw*KiXKhxyuRJjcnfjjb(fd|Uhm|v_rgoafrXD\^=86[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/@NV[L)AXNKTXl|heu,MkZEfz{sTHhm`dhld*fii|{egSno}rx71?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(IE_TE"H_G@]Wewab|'kgyRmnrs{?5582:2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#LBZ_H-ETBGX\hxliy nlt]`ewt~48;59?5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.COQZO(NYMJSYo}gdv-eisXkhxyu1?=>408Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)FD\UB#K^HA^Vbvbcs&hf~Sno}rx>27;353\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$MA[PI.DSCDYSi{mnx#ocu^abvw;9=4>>6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/@NV[L)AXNKTXl|heu,bhpYdi{xr0<;1539V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*GK]VC$J]IN_Ucqc`r)ieTol|}y=35:04<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%J@XQF/GRDEZRfzno"lbz_bcqv|:6?7?97X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG AMW\M*@WOHU_mijt/coqZefz{s7=50:2:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+DJRW@%M\JOPT`pdaq(fd|Uhm|v<0;=14=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&KGYRG FQEB[Qguol~%ma{Pc`pq}979=;1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"OCU^K,BUAFW]kykhz!amw\gdtuq58;28<4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-BHPYN'OZLMRZnrfgw*djrWjky~t2=1?71?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(IE_TE"H_G@]Wewab|'kgyRmnrs{?6782:2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#LBZ_H-ETBGX\hxliy nlt]`ewt~4;959?5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.COQZO(NYMJSYo}gdv-eisXkhxyu1<;>408Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)FD\UB#K^HA^Vbvbcs&hf~Sno}rx>11;353\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$MA[PI.DSCDYSi{mnx#ocu^abvw;:?4>>6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/@NV[L)AXNKTXl|heu,bhpYdi{xr0?91539V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*GK]VC$J]IN_Ucqc`r)ieTol|}y=0;:04<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%J@XQF/GRDEZRfzno"lbz_bcqv|:517?:7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG AMW\M*@WOHU_mijt/coqZefz{s7>3;=;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,EISXA&L[KLQ[asefp+gk}Vij~w331<66>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'HF^SD!IPFC\Pdt`m}$j`xQlaspz8679=;1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"OCU^K,BUAFW]kykhz!amw\gdtuq59928?4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-BHPYN'OZLMRZnrfgw*djrWjky~t2<>438Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)FD\UB#K^HA^Vbvbcs&hf~Sno}rx>7:07<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%J@XQF/GRDEZRfzno"lbz_bcqv|:26<;0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!NLT]J+CV@IV^j~jk{.`nv[fguzp6=28?4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-BHPYN'OZLMRZnrfgw*djrWjky~t28>438Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)FD\UB#K^HA^Vbvbcs&hf~Sno}rx>;:07<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%J@XQF/GRDEZRfzno"lbz_bcqv|:>6<;0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!BSS]QabYN'Dg~tR||t^TbhpctW@C:=8?4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-NWWYUmnUB#@czx^pppZPfd|oxSDG=1438Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)J[[UYijQF/Lov|Ztt|V\j`xk|_HK052g<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%F__Q]ef]J+PunfnUM\JO!MRP\FlrtS;2V"X}fnf]NWWYUmnUIey BSS]QabYEa}UB#@]]_H:0?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(EZXT^hiPI.WpmkaXNYMJ"@]]_Ckww^4?U'_xeciPMRP\V`aXJ`~%A^\PRde\FlrXA&GX^RGPgmwf[vcs?h1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"C\R^PfcZO(]zcekRH_G@,NWWYEa}yP>4S!UrkmcZKTZVXnkRLft/OPVZTboVHbxRG MRP\M=4<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%F__Q]ef]J+PunfnUM\JO!MRP\FlrtS;3V"X}fnf]NWWYUmnUIey BSS]QabYEa}UB#@]]_H]dhpcXkl2?7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG MRP\V`aXA&_xeciPFQEB*HUUWKcV<6]/WpmkaXEZXT^hiPBhv-IVTXZlmTNdzPI.OPVZOXoenSnkPF968Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)J[[UYijQF/TqjjbYAXNK%A^\PBhvp_7?Z&\ybbjQBSS]QabYEa}$F__Q]ef]AmqYN'DYYSDQhltg\g`Y@0:1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"C\R^PfcZO(]zcekRH_G@,NWWYEa}yP>4S!UrkmcZKTZVXnkRLft/OPVZTboVHbxRG MRP\MZak}lUxiy9n;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,IVTXZlmTE"[|ioe\BUAF&DYYSOg{sZ13Y+StagmTA^\PRde\Flr)EZXT^hiPBhv\M*KTZVC3?6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/LQQ[Wc`W@%^d`h_GRDE+KTZVHbx~U<0\,Vwlh`WDYYS_kh_Ckw*HUUW[olSOg{_H-NWWYNWnf~iR}jt5g8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)J[[UYijQF/sqw[Sgk}lyTED2=8?6f?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(EZXT^hiPI.pppZPfd|oxSDG328<7a>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'DYYS_kh_H-qwqYQienRGF<22=1d=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&E{ioad^Qfh`esW@%XewkP16vl77(nedUYij2?>4`8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)HxlxjbiQ\emg`pZO([`pnS<9{o20-mhkXZlm7==0:b:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+JvbzhdoS^kcebv\M*UnrlU:;ya<2/kniZTbo5;:28l4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Lt`tffmUXiaklt^K,Wl|bW8=c>n6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/NrfvdhcWZoginzPI.Qj~`Y6?}e8>#gbm^Pfc9746~d?? fml]Qab:36S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'Fzn~l`k_RgoafrXA&YbvhQ>7um06+ojeVXnk1915`9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Iwm{kehR]jldaw[L)TasoT=:z`33,jihYUmn6328o4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Lt`tffmUXiaklt^K,Wl|bW8=c> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG OqgqekbX[lfnoyQF/RkyaZ70|f99"dcb_Sgd[53>3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$C}k}aof\W`jbk}UB#^gue^34pj55&`gfS_kh_07b?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(GyoymcjPSdnfgqYN'ZcqiR?8tn11*lkjW[olS<>:a:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+JvbzhdoS^kcebv\M*UnrlU:;ya<2/kniZTboV;:9l5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.MsawgilVYn`hm{_H-PmcX9>~d?? fml]QabY6:S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'Fzn~l`k_RgoafrXA&YbvhQ>7um06+ojeVXnkR?:589V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Iwm{kehR]jldaw[L)TasoT=:z`33,jihYUmnU9945Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.MsawgilVYn`hm{_H-PmcX9>~d?? fml]QabY4=01^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"Aescm`ZUbdliSD!\i{g\52rh;;$ba`Q]ef]71<=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&E{ioad^Qfh`esW@%XewkP16vl77(nedUYijQ:589V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Iwm{kehR]jldaw[L)TasoT=:z`33,jihYUmnU=945Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.MsawgilVYn`hm{_H-PmcX9>~d?? fml]QabY0=01^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"Aescm`ZUbdliSD!\i{g\52rh;;$ba`Q]ef];1<=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&E{ioad^Qfh`esW@%XewkP16vl77(nedUYijQ6829V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Iwm{kehR]jldaw[L)R{`dlSK^HA/MsW`jbk}UIey}T0\,Lt`tffmUXiaklt^@jpZO(EdsSb~>_RRQ54>73\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$C}k}aof\W`jbk}UB#X}fnf]ETBG)GyYn`hm{_Ckww^6Z&Fzn~l`k_RgoafrXJ`~TE"Cbuy]lt7YN91>0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!@pdpbjaYTmeohxRG UrkmcZ@WOH$D|^kcebv\FlrtS9W%C}k}aof\W`jbk}UIeyQF/Lov|Ziw:VC:S^Y?7b9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Iwm{kehR]jldaw[L)R{`dlSK^HA/MsW`jbk}UIey}T0\,Lt`tffmUXiaklt^@jpZO(Gy;THKH70:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+JvbzhdoS^kcebv\M*StagmTJ]IN.NrPaicd|VHbx~U?]/MsawgilVYn`hm{_Ckw[L)Hx8UYijQKFG5`?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(GyoymcjPSdnfgqYN'\ybbjQIPFC-KuUbdliSOg{sZ2^*JvbzhdoS^kcebv\FlrXA&E{>RJIF8c8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)HxlxjbiQ\emg`pZO(]zcekRH_G@,LtVckmj~TNdz|[1_-KucuignT_hbjcu]AmqYN'\ybbjQCUU4\5+Dh|aU[ORoad^OPV+Iw9VF^X;9n;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,KucuignT_hbjcu]J+PunfnUM\JO!OqQfh`esWKcV>R.NrfvdhcWZoginzPBhv\M*iw9VC mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG OqgqekbX[lfnoyQF/TqjjbYAXNK%C}]jldaw[Gos{R:V"B~jr`lg[Vckmj~TNdzPI.ms5ZUWZ>k0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!@pdpbjaYTmeohxRG UrkmcZ@WOH$D|^kcebv\FlrtS9W%C}k}aof\W`jbk}UIeyQF/nr1[L>63\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$C}k}aof\W`jbk}UB#X}fnf]ETBG)GyYn`hm{_Ckww^77U'E{ioad^Qfh`esWKcSD!Bmtz\ku4XA8297X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG OqgqekbX[lfnoyQF/TqjjbYAXNK%C}]jldaw[Gos{R;;Q#Aescm`ZUbdliSOg{_H-Nip~Xgy8TE<<72:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+JvbzhdoS^kcebv\M*StagmTJ]IN.NrPaicd|VHbx~U>0\,Lt`tffmUXiaklt^@jpZO(EdsSb~=_H30<3=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&E{ioad^Qfh`esW@%^d`h_GRDE+Iw[lfnoyQMiuqX55[)GyoymcjPSdnfgqYEa}UB#@czx^ms6ZO6;VY\<:j4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Lt`tffmUXiaklt^K,QvoioVL[KL @pRgoafrXJ`~xW<>R.NrfvdhcWZoginzPBhv\M*Iw9VNMJ5?4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Lt`tffmUXiaklt^K,QvoioVL[KL @pRgoafrXJ`~xW<>R.NrfvdhcWZoginzPBhv\M*Iw9VXnkRJIF6f8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)HxlxjbiQ\emg`pZO(]zcekRH_G@,LtVckmj~TNdz|[02^*JvbzhdoS^kcebv\FlrXA&E{>RJIF818Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)HxlxjbiQ\emg`pZO(]zcekRH_G@,LtVckmj~TNdz|[02^*JvbzhdoS^kcebv\FlrXA&_xeciPLTV5[4(HfesT\N @p0]OQQ00j2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#B~jr`lg[Vckmj~TE"[|ioe\BUAF&FzXiaklt^@jpv]68T$D|h|nne]Paicd|VHbxRG oq3\M2b<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%D|h|nne]Paicd|VC$Y~gag^DSCD(HxZoginzPBhvp_46Z&Fzn~l`k_RgoafrXJ`~TE"a1^Pfc2d<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%D|h|nne]Paicd|VC$Y~gag^DSCD(HxZoginzPBhvp_46Z&Fzn~l`k_RgoafrXJ`~TE"a2^K;6>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'Fzn~l`k_RgoafrXA&_xeciPFQEB*JvTmeohxRLftrY25X(HxlxjbiQ\emg`pZDn|VC$A`{w_nr1[L740?1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"Aescm`ZUbdliSD!Zshld[CV@I'E{_hbjcu]Amqu\98W%C}k}aof\W`jbk}UIeyQF/Lov|Ziw:VC:?R]X06f8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)HxlxjbiQ\emg`pZO(]zcekRH_G@,LtVckmj~TNdz|[03^*JvbzhdoS^kcebv\FlrXA&E{=RJIF938Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)HxlxjbiQ\emg`pZO(]zcekRH_G@,LtVckmj~TNdz|[03^*JvbzhdoS^kcebv\FlrXA&E{=R\jg^FEB2b<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%D|h|nne]Paicd|VC$Y~gag^DSCD(HxZoginzPBhvp_47Z&Fzn~l`k_RgoafrXJ`~TE"A2^FEB<5<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%D|h|nne]Paicd|VC$Y~gag^DSCD(HxZoginzPBhvp_47Z&Fzn~l`k_RgoafrXJ`~TE"[|ioe\HPR1W8$DbawPPB,Lt4YK]]<n0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!@pdpbjaYTmeohxRG UrkmcZ@WOH$D|^kcebv\FlrtS8;V"B~jr`lg[Vckmj~TNdzPI.ms5ZTbo>h0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!@pdpbjaYTmeohxRG UrkmcZ@WOH$D|^kcebv\FlrtS8;V"B~jr`lg[Vckmj~TNdzPI.ms6ZO?:2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#B~jr`lg[Vckmj~TE"[|ioe\BUAF&FzXiaklt^@jpv]6:T$D|h|nne]Paicd|VHbxRG Mlw{[jv5W@;84;5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.MsawgilVYn`hm{_H-Vwlh`WOZLM#ASdnfgqYEa}yP=?S!OqgqekbX[lfnoyQMiu]J+HkrpVe{>RG>3^QT42b<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%D|h|nne]Paicd|VC$Y~gag^DSCD(HxZoginzPBhvp_44Z&Fzn~l`k_RgoafrXJ`~TE"A1^FEB=7<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%D|h|nne]Paicd|VC$Y~gag^DSCD(HxZoginzPBhvp_44Z&Fzn~l`k_RgoafrXJ`~TE"A1^PfcZBAN>n0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!@pdpbjaYTmeohxRG UrkmcZ@WOH$D|^kcebv\FlrtS88V"B~jr`lg[Vckmj~TNdzPI.Ms6ZBAN090Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!@pdpbjaYTmeohxRG UrkmcZ@WOH$D|^kcebv\FlrtS88V"B~jr`lg[Vckmj~TNdzPI.WpmkaXD\^=S< @nm{\TF(Hx8UGYY88b:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+JvbzhdoS^kcebv\M*StagmTJ]IN.NrPaicd|VHbx~U>2\,Lt`tffmUXiaklt^@jpZO(gy;TE:j4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Lt`tffmUXiaklt^K,QvoioVL[KL @pRgoafrXJ`~xW<6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/NrfvdhcWZoginzPI.WpmkaXNYMJ"B~\emg`pZDn|zQ:?P @pdpbjaYTmeohxRLft^K,IhsWfz9SD?<879V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Iwm{kehR]jldaw[L)R{`dlSK^HA/MsW`jbk}UIey}T12_-KucuignT_hbjcu]AmqYN'Dg~tRa2^K27ZUP8>n0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!@pdpbjaYTmeohxRG UrkmcZ@WOH$D|^kcebv\FlrtS89V"B~jr`lg[Vckmj~TNdzPI.Ms5ZBAN1;0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!@pdpbjaYTmeohxRG UrkmcZ@WOH$D|^kcebv\FlrtS89V"B~jr`lg[Vckmj~TNdzPI.Ms5ZTboVNMJ:j4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Lt`tffmUXiaklt^K,QvoioVL[KL @pRgoafrXJ`~xW<=R.NrfvdhcWZoginzPBhv\M*Iw:VNMJ4=4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Lt`tffmUXiaklt^K,QvoioVL[KL @pRgoafrXJ`~xW<=R.NrfvdhcWZoginzPBhv\M*StagmT@XZ9_0,LjiXXJ$D|S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'Fzn~l`k_RgoafrXA&_xeciPFQEB*JvTmeohxRLftrY27X(HxlxjbiQ\emg`pZDn|VC$c}?PI6f8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)HxlxjbiQ\emg`pZO(]zcekRH_G@,LtVckmj~TNdz|[01^*JvbzhdoS^kcebv\FlrXA&e{=R\jg6`8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)HxlxjbiQ\emg`pZO(]zcekRH_G@,LtVckmj~TNdz|[01^*JvbzhdoS^kcebv\FlrXA&e{>RG72:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+JvbzhdoS^kcebv\M*StagmTJ]IN.NrPaicd|VHbx~U>4\,Lt`tffmUXiaklt^@jpZO(EdsSb~=_H30<3=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&E{ioad^Qfh`esW@%^d`h_GRDE+Iw[lfnoyQMiuqX51[)GyoymcjPSdnfgqYEa}UB#@czx^ms6ZO6;VY\<:j4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Lt`tffmUXiaklt^K,QvoioVL[KL @pRgoafrXJ`~xW<:R.NrfvdhcWZoginzPBhv\M*Iw9VNMJ5?4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Lt`tffmUXiaklt^K,QvoioVL[KL @pRgoafrXJ`~xW<:R.NrfvdhcWZoginzPBhv\M*Iw9VXnkRJIF6f8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)HxlxjbiQ\emg`pZO(]zcekRH_G@,LtVckmj~TNdz|[06^*JvbzhdoS^kcebv\FlrXA&E{>RJIF818Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)HxlxjbiQ\emg`pZO(]zcekRH_G@,LtVckmj~TNdz|[06^*JvbzhdoS^kcebv\FlrXA&_xeciPLTV5[4(HfesT\N @p0]OQQ00j2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#B~jr`lg[Vckmj~TE"[|ioe\BUAF&FzXiaklt^@jpv]6S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'Fzn~l`k_RgoafrXA&_xeciPFQEB*JvTmeohxRLftrY21X(HxlxjbiQ\emg`pZDn|VC$A`{w_nr1[L740?1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"Aescm`ZUbdliSD!Zshld[CV@I'E{_hbjcu]Amqu\9n0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!@pdpbjaYTmeohxRG UrkmcZ@WOH$D|^kcebv\FlrtS8?V"B~jr`lg[Vckmj~TNdzPI.ms5ZTbo>h0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!@pdpbjaYTmeohxRG UrkmcZ@WOH$D|^kcebv\FlrtS8?V"B~jr`lg[Vckmj~TNdzPI.ms6ZO?:2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#B~jr`lg[Vckmj~TE"[|ioe\BUAF&FzXiaklt^@jpv]6>T$D|h|nne]Paicd|VHbxRG Mlw{[jv5W@;:;i5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.MsawgilVYn`hm{_H-Vwlh`WOZLM#ASdnfgqYEa}yP=;S!OqgqekbX[lfnoyQMiu]J+Jv6WMLM4<5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.MsawgilVYn`hm{_H-Vwlh`WOZLM#ASdnfgqYEa}yP=;S!OqgqekbX[lfnoyQMiu]J+Jv6W[olSIHI7e9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Iwm{kehR]jldaw[L)R{`dlSK^HA/MsW`jbk}UIey}T17_-KucuignT_hbjcu]AmqYN'Fz9SIHI929V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Iwm{kehR]jldaw[L)R{`dlSK^HA/MsW`jbk}UIey}T17_-KucuignT_hbjcu]AmqYN'\ybbjQCUU4\5+IidpU[O#A1^NVP31e3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$C}k}aof\W`jbk}UB#X}fnf]ETBG)GyYn`hm{_Ckww^71U'E{ioad^Qfh`esWKcSD!`p0]J3a=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&E{ioad^Qfh`esW@%^d`h_GRDE+Iw[lfnoyQMiuqX53[)GyoymcjPSdnfgqYEa}UB#b~>_Sgd3g=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&E{ioad^Qfh`esW@%^d`h_GRDE+Iw[lfnoyQMiuqX53[)GyoymcjPSdnfgqYEa}UB#b~=_H:1?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(GyoymcjPSdnfgqYN'\ybbjQIPFC-KuUbdliSOg{sZ34Y+Iwm{kehR]jldaw[GosW@%FaxvPoq0\M470l2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#B~jr`lg[Vckmj~TE"[|ioe\BUAF&FzXiaklt^@jpv]6?T$D|h|nne]Paicd|VHbxRG Oq3\@C@?92_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#B~jr`lg[Vckmj~TE"[|ioe\BUAF&FzXiaklt^@jpv]6?T$D|h|nne]Paicd|VHbxRG Oq3\V`aXLOL.Nlo}ZVD&Fz:SA[[66`8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)HxlxjbiQ\emg`pZO(]zcekRH_G@,LtVckmj~TNdz|[05^*JvbzhdoS^kcebv\FlrXA&e{=RG8d:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+JvbzhdoS^kcebv\M*StagmTJ]IN.NrPaicd|VHbx~U>7\,Lt`tffmUXiaklt^@jpZO(gy;T^hi8b:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+JvbzhdoS^kcebv\M*StagmTJ]IN.NrPaicd|VHbx~U>7\,Lt`tffmUXiaklt^@jpZO(gy8TE5<4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Lt`tffmUXiaklt^K,QvoioVL[KL @pRgoafrXJ`~xW<6R.NrfvdhcWZoginzPBhv\M*Kj}qUd|?QF13:7?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(GyoymcjPSdnfgqYN'\ybbjQIPFC-KuUbdliSOg{sZ3;Y+Iwm{kehR]jldaw[GosW@%FaxvPoq0\M44XN1>0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!@pdpbjaYTmeohxRG UrkmcZ@WOH$D|^kcebv\FlrtS82V"B~jr`lg[Vckmj~TNdzPI.Onq}Yhx;UB=?QH7e9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Iwm{kehR]jldaw[L)R{`dlSK^HA/MsW`jbk}UIey}T19_-KucuignT_hbjcu]AmqYN'Fz:SIHI809V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Iwm{kehR]jldaw[L)R{`dlSK^HA/MsW`jbk}UIey}T19_-KucuignT_hbjcu]AmqYN'Fz:S_kh_EDE3a=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&E{ioad^Qfh`esW@%^d`h_GRDE+Iw[lfnoyQMiuqX5=[)GyoymcjPSdnfgqYEa}UB#B~=_EDE=6=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&E{ioad^Qfh`esW@%^d`h_GRDE+Iw[lfnoyQMiuqX5=[)GyoymcjPSdnfgqYEa}UB#X}fnf]OQQ0X9'Ee`tQ_C/Ms5ZJR\?=i7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG OqgqekbX[lfnoyQF/TqjjbYAXNK%C}]jldaw[Gos{R;3Q#Aescm`ZUbdliSOg{_H-lt4YN?m1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"Aescm`ZUbdliSD!Zshld[CV@I'E{_hbjcu]Amqu\91W%C}k}aof\W`jbk}UIeyQF/nr2[Wc`?k1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"Aescm`ZUbdliSD!Zshld[CV@I'E{_hbjcu]Amqu\91W%C}k}aof\W`jbk}UIeyQF/nr1[L>53\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$C}k}aof\W`jbk}UB#X}fnf]ETBG)GyYn`hm{_Ckww^7>U'E{ioad^Qfh`esWKcSD!Bmtz\ku4XA88386[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/NrfvdhcWZoginzPI.WpmkaXNYMJ"B~\emg`pZDn|zQ:5P @pdpbjaYTmeohxRLft^K,IhsWfz9SD?=_G:7?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(GyoymcjPSdnfgqYN'\ybbjQIPFC-KuUbdliSOg{sZ3:Y+Iwm{kehR]jldaw[GosW@%FaxvPoq0\M44XO>n0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!@pdpbjaYTmeohxRG UrkmcZ@WOH$D|^kcebv\FlrtS83V"B~jr`lg[Vckmj~TNdzPI.Ms5ZBAN1;0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!@pdpbjaYTmeohxRG UrkmcZ@WOH$D|^kcebv\FlrtS83V"B~jr`lg[Vckmj~TNdzPI.Ms5ZTboVNMJ:j4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Lt`tffmUXiaklt^K,QvoioVL[KL @pRgoafrXJ`~xW<7R.NrfvdhcWZoginzPBhv\M*Iw:VNMJ4=4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Lt`tffmUXiaklt^K,QvoioVL[KL @pRgoafrXJ`~xW<7R.NrfvdhcWZoginzPBhv\M*StagmT@XZ9_0,LjiXXJ$D|S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'Fzn~l`k_RgoafrXA&_xeciPFQEB*JvTmeohxRLftrY2=X(HxlxjbiQ\emg`pZDn|VC$c}?PI6f8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)HxlxjbiQ\emg`pZO(]zcekRH_G@,LtVckmj~TNdz|[0;^*JvbzhdoS^kcebv\FlrXA&e{=R\jg6`8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)HxlxjbiQ\emg`pZO(]zcekRH_G@,LtVckmj~TNdz|[0;^*JvbzhdoS^kcebv\FlrXA&e{>RG71:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+JvbzhdoS^kcebv\M*StagmTJ]IN.NrPaicd|VHbx~U>]/MsawgilVYn`hm{_Ckw[L)Je|rTc}S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'Fzn~l`k_RgoafrXA&_xeciPFQEB*JvTmeohxRLftrY2Y+Iwm{kehR]jldaw[GosW@%FaxvPoq0\M45X[^: mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG OqgqekbX[lfnoyQF/TqjjbYAXNK%C}]jldaw[Gos{R;V"B~jr`lg[Vckmj~TNdzPI.Ms5ZTboVNMJ:m4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Lt`tffmUXiaklt^K,QvoioVL[KL @pRgoafrXJ`~xW.Nlo}ZVD&Fz:SA[[66c8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)HxlxjbiQ\emg`pZO(]zcekRH_G@,LtVckmj~TNdz|[0_-KucuignT_hbjcu]AmqYN'fz:SD9l;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,KucuignT_hbjcu]J+PunfnUM\JO!OqQfh`esWKcV?R.NrfvdhcWZoginzPBhv\M*iw9VXnk:o4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Lt`tffmUXiaklt^K,QvoioVL[KL @pRgoafrXJ`~xW mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG OqgqekbX[lfnoyQF/TqjjbYAXNK%C}]jldaw[Gos{R8;Q#Aescm`ZUbdliSOg{_H-Nip~Xgy8TE<<74:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+JvbzhdoS^kcebv\M*StagmTJ]IN.NrPaicd|VHbx~U=0\,Lt`tffmUXiaklt^@jpZO(EdsSb~=_H31[C>33\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$C}k}aof\W`jbk}UB#X}fnf]ETBG)GyYn`hm{_Ckww^47U'E{ioad^Qfh`esWKcSD!Bmtz\ku4XA88TK:j4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Lt`tffmUXiaklt^K,QvoioVL[KL @pRgoafrXJ`~xW?>R.NrfvdhcWZoginzPBhv\M*Iw9VNMJ5?4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Lt`tffmUXiaklt^K,QvoioVL[KL @pRgoafrXJ`~xW?>R.NrfvdhcWZoginzPBhv\M*Iw9VXnkRJIF6f8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)HxlxjbiQ\emg`pZO(]zcekRH_G@,LtVckmj~TNdz|[32^*JvbzhdoS^kcebv\FlrXA&E{>RJIF818Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)HxlxjbiQ\emg`pZO(]zcekRH_G@,LtVckmj~TNdz|[32^*JvbzhdoS^kcebv\FlrXA&_xeciPLTV5[4(HfesT\N @p0]OQQ00j2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#B~jr`lg[Vckmj~TE"[|ioe\BUAF&FzXiaklt^@jpv]58T$D|h|nne]Paicd|VHbxRG oq3\M2b<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%D|h|nne]Paicd|VC$Y~gag^DSCD(HxZoginzPBhvp_76Z&Fzn~l`k_RgoafrXJ`~TE"a1^Pfc2d<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%D|h|nne]Paicd|VC$Y~gag^DSCD(HxZoginzPBhvp_76Z&Fzn~l`k_RgoafrXJ`~TE"a2^K;6>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'Fzn~l`k_RgoafrXA&_xeciPFQEB*JvTmeohxRLftrY15X(HxlxjbiQ\emg`pZDn|VC$A`{w_nr1[L750=1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"Aescm`ZUbdliSD!Zshld[CV@I'E{_hbjcu]Amqu\:8W%C}k}aof\W`jbk}UIeyQF/Lov|Ziw:VC:>RH74:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+JvbzhdoS^kcebv\M*StagmTJ]IN.NrPaicd|VHbx~U=1\,Lt`tffmUXiaklt^@jpZO(EdsSb~=_H31[B1c3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$C}k}aof\W`jbk}UB#X}fnf]ETBG)GyYn`hm{_Ckww^46U'E{ioad^Qfh`esWKcSD!@p0]GBC>63\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$C}k}aof\W`jbk}UB#X}fnf]ETBG)GyYn`hm{_Ckww^46U'E{ioad^Qfh`esWKcSD!@p0]QabYCNO=o7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG OqgqekbX[lfnoyQF/TqjjbYAXNK%C}]jldaw[Gos{R8:Q#Aescm`ZUbdliSOg{_H-Lt7YCNO387X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG OqgqekbX[lfnoyQF/TqjjbYAXNK%C}]jldaw[Gos{R8:Q#Aescm`ZUbdliSOg{_H-Vwlh`WE__:R?!Oonz[UE)Gy;T@XZ97c9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Iwm{kehR]jldaw[L)R{`dlSK^HA/MsW`jbk}UIey}T20_-KucuignT_hbjcu]AmqYN'fz:SD9k;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,KucuignT_hbjcu]J+PunfnUM\JO!OqQfh`esWKcV<>]/MsawgilVYn`hm{_Ckw[L)hx8UYij9m;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,KucuignT_hbjcu]J+PunfnUM\JO!OqQfh`esWKcV<>]/MsawgilVYn`hm{_Ckw[L)hx;UB4?5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.MsawgilVYn`hm{_H-Vwlh`WOZLM#ASdnfgqYEa}yP>?S!OqgqekbX[lfnoyQMiu]J+HkrpVe{>RG>2968Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)HxlxjbiQ\emg`pZO(]zcekRH_G@,LtVckmj~TNdz|[30^*JvbzhdoS^kcebv\FlrXA&GfyuQ`p3]J57YA0=1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"Aescm`ZUbdliSD!Zshld[CV@I'E{_hbjcu]Amqu\:;W%C}k}aof\W`jbk}UIeyQF/Lov|Ziw:VC:>RI8d:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+JvbzhdoS^kcebv\M*StagmTJ]IN.NrPaicd|VHbx~U=2\,Lt`tffmUXiaklt^@jpZO(Gy;THKH71:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+JvbzhdoS^kcebv\M*StagmTJ]IN.NrPaicd|VHbx~U=2\,Lt`tffmUXiaklt^@jpZO(Gy;T^hiPDGD4`>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'Fzn~l`k_RgoafrXA&_xeciPFQEB*JvTmeohxRLftrY16X(HxlxjbiQ\emg`pZDn|VC$C}S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'Fzn~l`k_RgoafrXA&_xeciPFQEB*JvTmeohxRLftrY16X(HxlxjbiQ\emg`pZDn|VC$Y~gag^NVP3Y6&FdguR^L.Nr2[ISS>>h0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!@pdpbjaYTmeohxRG UrkmcZ@WOH$D|^kcebv\FlrtS;8V"B~jr`lg[Vckmj~TNdzPI.ms5ZO0l2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#B~jr`lg[Vckmj~TE"[|ioe\BUAF&FzXiaklt^@jpv]5:T$D|h|nne]Paicd|VHbxRG oq3\V`a0j2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#B~jr`lg[Vckmj~TE"[|ioe\BUAF&FzXiaklt^@jpv]5:T$D|h|nne]Paicd|VHbxRG oq0\M=4<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%D|h|nne]Paicd|VC$Y~gag^DSCD(HxZoginzPBhvp_75Z&Fzn~l`k_RgoafrXJ`~TE"Cbuy]lt7YN9;2?7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG OqgqekbX[lfnoyQF/TqjjbYAXNK%C}]jldaw[Gos{R88Q#Aescm`ZUbdliSOg{_H-Nip~Xgy8TE<>S!OqgqekbX[lfnoyQMiu]J+Jv5WMLM5>5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.MsawgilVYn`hm{_H-Vwlh`WOZLM#ASdnfgqYEa}yP>>S!OqgqekbX[lfnoyQMiu]J+PunfnUGYY8P1/Mmh|YWK'E{=RBZT75a?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(GyoymcjPSdnfgqYN'\ybbjQIPFC-KuUbdliSOg{sZ00Y+Iwm{kehR]jldaw[GosW@%d| mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG OqgqekbX[lfnoyQF/TqjjbYAXNK%C}]jldaw[Gos{R8?Q#Aescm`ZUbdliSOg{_H-Nip~Xgy8TE<9S!OqgqekbX[lfnoyQMiu]J+Jv5WMLM5o5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.MsawgilVYn`hm{_H-Vwlh`WOZLM#ASdnfgqYEa}yP>9S!OqgqekbX[lfnoyQMiu]J+PunfnUGYY8P1/@lpmYWKVkehRC\R/Ms5ZJR\?=i7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG OqgqekbX[lfnoyQF/TqjjbYAXNK%C}]jldaw[Gos{R8?Q#Aescm`ZUbdliSOg{_H-lt4YN?m1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"Aescm`ZUbdliSD!Zshld[CV@I'E{_hbjcu]Amqu\:=W%C}k}aof\W`jbk}UIeyQF/nr2[Wc`?m1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"Aescm`ZUbdliSD!Zshld[CV@I'E{_hbjcu]Amqu\:=W%C}k}aof\W`jbk}UIeyQF/nr2[VVU?k1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"Aescm`ZUbdliSD!Zshld[CV@I'E{_hbjcu]Amqu\:=W%C}k}aof\W`jbk}UIeyQF/nr1[L>33\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$C}k}aof\W`jbk}UB#X}fnf]ETBG)GyYn`hm{_Ckww^42U'E{ioad^Qfh`esWKcSD!Bmtz\ku7X[YX:=5<4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Lt`tffmUXiaklt^K,QvoioVL[KL @pRgoafrXJ`~xW?;R.NrfvdhcWZoginzPBhv\M*Kj}qUd|?QF13:7?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(GyoymcjPSdnfgqYN'\ybbjQIPFC-KuUbdliSOg{sZ06Y+Iwm{kehR]jldaw[GosW@%FaxvPoq0\M44XN1>0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!@pdpbjaYTmeohxRG UrkmcZ@WOH$D|^kcebv\FlrtS;?V"B~jr`lg[Vckmj~TNdzPI.Onq}Yhx;UB=?QH7e9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Iwm{kehR]jldaw[L)R{`dlSK^HA/MsW`jbk}UIey}T24_-KucuignT_hbjcu]AmqYN'Fz:SIHI809V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Iwm{kehR]jldaw[L)R{`dlSK^HA/MsW`jbk}UIey}T24_-KucuignT_hbjcu]AmqYN'Fz:S_kh_EDE3a=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&E{ioad^Qfh`esW@%^d`h_GRDE+Iw[lfnoyQMiuqX60[)GyoymcjPSdnfgqYEa}UB#B~=_EDE=g=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&E{ioad^Qfh`esW@%^d`h_GRDE+Iw[lfnoyQMiuqX60[)GyoymcjPSdnfgqYEa}UB#X}fnf]OQQ0X9'HdxeQ_C^cm`ZKTZ'E{=RBZT75a?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(GyoymcjPSdnfgqYN'\ybbjQIPFC-KuUbdliSOg{sZ06Y+Iwm{kehR]jldaw[GosW@%d| mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG OqgqekbX[lfnoyQF/TqjjbYAXNK%C}]jldaw[Gos{R8=Q#Aescm`ZUbdliSOg{_H-Nip~Xgy8TE<;S!OqgqekbX[lfnoyQMiu]J+Jv5WMLM5o5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.MsawgilVYn`hm{_H-Vwlh`WOZLM#ASdnfgqYEa}yP>;S!OqgqekbX[lfnoyQMiu]J+PunfnUGYY8P1/@lpmYWKVkehRC\R/Ms5ZJR\?=i7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG OqgqekbX[lfnoyQF/TqjjbYAXNK%C}]jldaw[Gos{R8=Q#Aescm`ZUbdliSOg{_H-lt4YN?m1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"Aescm`ZUbdliSD!Zshld[CV@I'E{_hbjcu]Amqu\:?W%C}k}aof\W`jbk}UIeyQF/nr2[Wc`?m1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"Aescm`ZUbdliSD!Zshld[CV@I'E{_hbjcu]Amqu\:?W%C}k}aof\W`jbk}UIeyQF/nr2[VVU?k1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"Aescm`ZUbdliSD!Zshld[CV@I'E{_hbjcu]Amqu\:?W%C}k}aof\W`jbk}UIeyQF/nr1[L>33\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$C}k}aof\W`jbk}UB#X}fnf]ETBG)GyYn`hm{_Ckww^40U'E{ioad^Qfh`esWKcSD!Bmtz\ku7X[YX:=5<4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Lt`tffmUXiaklt^K,QvoioVL[KL @pRgoafrXJ`~xW?9R.NrfvdhcWZoginzPBhv\M*Kj}qUd|?QF13:7?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(GyoymcjPSdnfgqYN'\ybbjQIPFC-KuUbdliSOg{sZ04Y+Iwm{kehR]jldaw[GosW@%FaxvPoq0\M44XN1>0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!@pdpbjaYTmeohxRG UrkmcZ@WOH$D|^kcebv\FlrtS;=V"B~jr`lg[Vckmj~TNdzPI.Onq}Yhx;UB=?QH7e9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Iwm{kehR]jldaw[L)R{`dlSK^HA/MsW`jbk}UIey}T26_-KucuignT_hbjcu]AmqYN'Fz:SIHI809V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Iwm{kehR]jldaw[L)R{`dlSK^HA/MsW`jbk}UIey}T26_-KucuignT_hbjcu]AmqYN'Fz:S_kh_EDE3a=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&E{ioad^Qfh`esW@%^d`h_GRDE+Iw[lfnoyQMiuqX62[)GyoymcjPSdnfgqYEa}UB#B~=_EDE=g=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&E{ioad^Qfh`esW@%^d`h_GRDE+Iw[lfnoyQMiuqX62[)GyoymcjPSdnfgqYEa}UB#X}fnf]OQQ0X9'HdxeQ_C^cm`ZKTZ'E{=RBZT75a?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(GyoymcjPSdnfgqYN'\ybbjQIPFC-KuUbdliSOg{sZ04Y+Iwm{kehR]jldaw[GosW@%d|Z&Fzn~l`k_RgoafrXJ`~TE"Cbuy]lt7YN9;2?7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG OqgqekbX[lfnoyQF/TqjjbYAXNK%C}]jldaw[Gos{R83Q#Aescm`ZUbdliSOg{_H-Nip~Xgy8TE<5S!OqgqekbX[lfnoyQMiu]J+Jv5WMLM5o5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.MsawgilVYn`hm{_H-Vwlh`WOZLM#ASdnfgqYEa}yP>5S!OqgqekbX[lfnoyQMiu]J+PunfnUGYY8P1/@lpmYWKVkehRC\R/Ms5ZJR\?=i7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG OqgqekbX[lfnoyQF/TqjjbYAXNK%C}]jldaw[Gos{R83Q#Aescm`ZUbdliSOg{_H-lt4YN?m1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"Aescm`ZUbdliSD!Zshld[CV@I'E{_hbjcu]Amqu\:1W%C}k}aof\W`jbk}UIeyQF/nr2[Wc`?m1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"Aescm`ZUbdliSD!Zshld[CV@I'E{_hbjcu]Amqu\:1W%C}k}aof\W`jbk}UIeyQF/nr2[VVU?k1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"Aescm`ZUbdliSD!Zshld[CV@I'E{_hbjcu]Amqu\:1W%C}k}aof\W`jbk}UIeyQF/nr1[L>33\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$C}k}aof\W`jbk}UB#X}fnf]ETBG)GyYn`hm{_Ckww^4>U'E{ioad^Qfh`esWKcSD!Bmtz\ku7X[YX:=5<4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Lt`tffmUXiaklt^K,QvoioVL[KL @pRgoafrXJ`~xW?7R.NrfvdhcWZoginzPBhv\M*Kj}qUd|?QF13:7?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(GyoymcjPSdnfgqYN'\ybbjQIPFC-KuUbdliSOg{sZ0:Y+Iwm{kehR]jldaw[GosW@%FaxvPoq0\M44XN1>0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!@pdpbjaYTmeohxRG UrkmcZ@WOH$D|^kcebv\FlrtS;3V"B~jr`lg[Vckmj~TNdzPI.Onq}Yhx;UB=?QH7e9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Iwm{kehR]jldaw[L)R{`dlSK^HA/MsW`jbk}UIey}T28_-KucuignT_hbjcu]AmqYN'Fz:SIHI809V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Iwm{kehR]jldaw[L)R{`dlSK^HA/MsW`jbk}UIey}T28_-KucuignT_hbjcu]AmqYN'Fz:S_kh_EDE3a=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&E{ioad^Qfh`esW@%^d`h_GRDE+Iw[lfnoyQMiuqX6<[)GyoymcjPSdnfgqYEa}UB#B~=_EDE=g=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&E{ioad^Qfh`esW@%^d`h_GRDE+Iw[lfnoyQMiuqX6<[)GyoymcjPSdnfgqYEa}UB#X}fnf]OQQ0X9'HdxeQ_C^cm`ZKTZ'E{=RBZT75a?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(GyoymcjPSdnfgqYN'\ybbjQIPFC-KuUbdliSOg{sZ0:Y+Iwm{kehR]jldaw[GosW@%d|;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,KucuignT_hbjcu]J+PunfnUM\JO!OqQfh`esWKcV mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG OqgqekbX[lfnoyQF/TqjjbYAXNK%C}]jldaw[Gos{R8V"B~jr`lg[Vckmj~TNdzPI.Ms5ZBAN1:0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!@pdpbjaYTmeohxRG UrkmcZ@WOH$D|^kcebv\FlrtS;W%C}k}aof\W`jbk}UIeyQF/Nr2[Wc`WMLM;n5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.MsawgilVYn`hm{_H-Vwlh`WOZLM#ASdnfgqYEa}yP>P @pdpbjaYTmeohxRLft^K,Ku4XLOL2>6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/NrfvdhcWZoginzPI.WpmkaXNYMJ"B~\emg`pZDn|zQ9Q#Aescm`ZUbdliSOg{_H-Vwlh`WE__:R?!Oonz[UE)Gy;T@XZ97`9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Iwm{kehR]jldaw[L)R{`dlSK^HA/MsW`jbk}UIey}T2\,Lt`tffmUXiaklt^@jpZO(gy;TE:m4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Lt`tffmUXiaklt^K,QvoioVL[KL @pRgoafrXJ`~xW?S!OqgqekbX[lfnoyQMiu]J+jv6W[ol;l5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.MsawgilVYn`hm{_H-Vwlh`WOZLM#ASdnfgqYEa}yP>P @pdpbjaYTmeohxRLft^K,ku4XA1>0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!@pdpbjaYTmeohxRG UrkmcZ@WOH$D|^kcebv\FlrtS::V"B~jr`lg[Vckmj~TNdzPI.Onq}Yhx8UX\_?>839V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Iwm{kehR]jldaw[L)R{`dlSK^HA/MsW`jbk}UIey}T31_-KucuignT_hbjcu]AmqYN'Dg~tRa2^K252b<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%D|h|nne]Paicd|VC$Y~gag^DSCD(HxZoginzPBhvp_66Z&Fzn~l`k_RgoafrXJ`~TE"A1^FEB=7<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%D|h|nne]Paicd|VC$Y~gag^DSCD(HxZoginzPBhvp_66Z&Fzn~l`k_RgoafrXJ`~TE"A1^PfcZBAN>n0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!@pdpbjaYTmeohxRG UrkmcZ@WOH$D|^kcebv\FlrtS::V"B~jr`lg[Vckmj~TNdzPI.Ms6ZBAN0h0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!@pdpbjaYTmeohxRG UrkmcZ@WOH$D|^kcebv\FlrtS::V"B~jr`lg[Vckmj~TNdzPI.WpmkaXD\^=S< Mouj\TFYffmUF__ @p0]OQQ00j2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#B~jr`lg[Vckmj~TE"[|ioe\BUAF&FzXiaklt^@jpv]48T$D|h|nne]Paicd|VHbxRG oq3\M2b<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%D|h|nne]Paicd|VC$Y~gag^DSCD(HxZoginzPBhvp_66Z&Fzn~l`k_RgoafrXJ`~TE"a1^Pfc2b<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%D|h|nne]Paicd|VC$Y~gag^DSCD(HxZoginzPBhvp_66Z&Fzn~l`k_RgoafrXJ`~TE"a1^QSV2d<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%D|h|nne]Paicd|VC$Y~gag^DSCD(HxZoginzPBhvp_66Z&Fzn~l`k_RgoafrXJ`~TE"a2^K;6>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'Fzn~l`k_RgoafrXA&_xeciPFQEB*JvTmeohxRLftrY05X(HxlxjbiQ\emg`pZDn|VC$A`{w_nr1[L76?m1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"Aescm`ZUbdliSD!Zshld[CV@I'E{_hbjcu]Amqu\;8W%C}k}aof\W`jbk}UIeyQF/Nr2[A@A081^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"Aescm`ZUbdliSD!Zshld[CV@I'E{_hbjcu]Amqu\;8W%C}k}aof\W`jbk}UIeyQF/Nr2[Wc`WMLM;i5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.MsawgilVYn`hm{_H-Vwlh`WOZLM#ASdnfgqYEa}yP? mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG OqgqekbX[lfnoyQF/TqjjbYAXNK%C}]jldaw[Gos{R9:Q#Aescm`ZUbdliSOg{_H-lt4YN?m1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"Aescm`ZUbdliSD!Zshld[CV@I'E{_hbjcu]Amqu\;8W%C}k}aof\W`jbk}UIeyQF/nr2[Wc`?k1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"Aescm`ZUbdliSD!Zshld[CV@I'E{_hbjcu]Amqu\;8W%C}k}aof\W`jbk}UIeyQF/nr1[L>63\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$C}k}aof\W`jbk}UB#X}fnf]ETBG)GyYn`hm{_Ckww^5Z&Fzn~l`k_RgoafrXJ`~TE"Cbuy]lt7YN9:2>7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG OqgqekbX[lfnoyQF/TqjjbYAXNK%C}]jldaw[Gos{R9V"B~jr`lg[Vckmj~TNdzPI.Onq}Yhx;UB=>Q\W15`?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(GyoymcjPSdnfgqYN'\ybbjQIPFC-KuUbdliSOg{sZ1^*JvbzhdoS^kcebv\FlrXA&E{=RJIF928Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)HxlxjbiQ\emg`pZO(]zcekRH_G@,LtVckmj~TNdz|[2_-KucuignT_hbjcu]AmqYN'Fz:S_kh_EDE3f=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&E{ioad^Qfh`esW@%^d`h_GRDE+Iw[lfnoyQMiuqX7X(HxlxjbiQ\emg`pZDn|VC$C}S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'Fzn~l`k_RgoafrXA&_xeciPFQEB*JvTmeohxRLftrY0Y+Iwm{kehR]jldaw[GosW@%^d`h_MWW2Z7)GgfrS]M!Oq3\HPR1?h1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"Aescm`ZUbdliSD!Zshld[CV@I'E{_hbjcu]Amqu\;T$D|h|nne]Paicd|VHbxRG oq3\M2e<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%D|h|nne]Paicd|VC$Y~gag^DSCD(HxZoginzPBhvp_6[)GyoymcjPSdnfgqYEa}UB#b~>_Sgd3d=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&E{ioad^Qfh`esW@%^d`h_GRDE+Iw[lfnoyQMiuqX7X(HxlxjbiQ\emg`pZDn|VC$c}S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'Fzn~l`k_RgoafrXA&_xeciPFQEB*JvTmeohxRLftrY7Y+Iwm{kehR]jldaw[GosW@%d| mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG OqgqekbX[lfnoyQF/TqjjbYAXNK%C}]jldaw[Gos{R?V"B~jr`lg[Vckmj~TNdzPI.Ms6ZBAN080Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!@pdpbjaYTmeohxRG UrkmcZ@WOH$D|^kcebv\FlrtSQ#Aescm`ZUbdliSOg{_H-lt4YUmn=j7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG OqgqekbX[lfnoyQF/TqjjbYAXNK%C}]jldaw[Gos{R?V"B~jr`lg[Vckmj~TNdzPI.ms6ZO?92_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#B~jr`lg[Vckmj~TE"[|ioe\BUAF&FzXiaklt^@jpv]1U'E{ioad^Qfh`esWKcSD!Bmtz\ku4XA89396[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/NrfvdhcWZoginzPI.WpmkaXNYMJ"B~\emg`pZDn|zQ=Q#Aescm`ZUbdliSOg{_H-Nip~Xgy8TE<=PSV24g>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'Fzn~l`k_RgoafrXA&_xeciPFQEB*JvTmeohxRLftrY5Y+Iwm{kehR]jldaw[GosW@%D|>k0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!@pdpbjaYTmeohxRG UrkmcZ@WOH$D|^kcebv\FlrtS?W%C}k}aof\W`jbk}UIeyQF/nr2[L1d3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$C}k}aof\W`jbk}UB#X}fnf]ETBG)GyYn`hm{_Ckww^0Z&Fzn~l`k_RgoafrXJ`~TE"a1^Pfc2g<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%D|h|nne]Paicd|VC$Y~gag^DSCD(HxZoginzPBhvp_3[)GyoymcjPSdnfgqYEa}UB#b~=_H:2?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(GyoymcjPSdnfgqYN'\ybbjQIPFC-KuUbdliSOg{sZ5^*JvbzhdoS^kcebv\FlrXA&GfyuQ`p3]J56>23\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$C}k}aof\W`jbk}UB#X}fnf]ETBG)GyYn`hm{_Ckww^1Z&Fzn~l`k_RgoafrXJ`~TE"Cbuy]lt7YN9:UX[=9l;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,KucuignT_hbjcu]J+PunfnUM\JO!OqQfh`esWKcV9R.NrfvdhcWZoginzPBhv\M*Iw9VNMJ5>4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Lt`tffmUXiaklt^K,QvoioVL[KL @pRgoafrXJ`~xW:S!OqgqekbX[lfnoyQMiu]J+Jv6W[olSIHI7b9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Iwm{kehR]jldaw[L)R{`dlSK^HA/MsW`jbk}UIey}T7\,Lt`tffmUXiaklt^@jpZO(Gy8THKH62:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+JvbzhdoS^kcebv\M*StagmTJ]IN.NrPaicd|VHbx~U8]/MsawgilVYn`hm{_Ckw[L)R{`dlSA[[6^3-Kkj~WYI%C}?PLTV53d=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&E{ioad^Qfh`esW@%^d`h_GRDE+Iw[lfnoyQMiuqX3X(HxlxjbiQ\emg`pZDn|VC$c}?PI6a8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)HxlxjbiQ\emg`pZO(]zcekRH_G@,LtVckmj~TNdz|[6_-KucuignT_hbjcu]AmqYN'fz:S_kh7`9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Iwm{kehR]jldaw[L)R{`dlSK^HA/MsW`jbk}UIey}T7\,Lt`tffmUXiaklt^@jpZO(gy8TE5?4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Lt`tffmUXiaklt^K,QvoioVL[KL @pRgoafrXJ`~xW5S!OqgqekbX[lfnoyQMiu]J+HkrpVe{>RG>3978Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)HxlxjbiQ\emg`pZO(]zcekRH_G@,LtVckmj~TNdz|[9_-KucuignT_hbjcu]AmqYN'Dg~tRa2^K27ZUP8>i0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!@pdpbjaYTmeohxRG UrkmcZ@WOH$D|^kcebv\FlrtS1W%C}k}aof\W`jbk}UIeyQF/Nr2[A@A091^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"Aescm`ZUbdliSD!Zshld[CV@I'E{_hbjcu]Amqu\0T$D|h|nne]Paicd|VHbxRG Oq3\V`aXLOL mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG OqgqekbX[lfnoyQF/TqjjbYAXNK%C}]jldaw[Gos{R2V"B~jr`lg[Vckmj~TNdzPI.WpmkaXD\^=S< @nm{\TF(Hx8UGYY88a:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+JvbzhdoS^kcebv\M*StagmTJ]IN.NrPaicd|VHbx~U7]/MsawgilVYn`hm{_Ckw[L)hx8UB;n5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.MsawgilVYn`hm{_H-Vwlh`WOZLM#ASdnfgqYEa}yP4P @pdpbjaYTmeohxRLft^K,ku7XZlmU'E{ioad^Qfh`esWKcSD!@p0]QabYCNO=h7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG OqgqekbX[lfnoyQF/TqjjbYAXNK%C}]jldaw[Gos{R3V"B~jr`lg[Vckmj~TNdzPI.Ms6ZBAN080Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!@pdpbjaYTmeohxRG UrkmcZ@WOH$D|^kcebv\FlrtS0W%C}k}aof\W`jbk}UIeyQF/TqjjbYK]] mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG OqgqekbX[lfnoyQF/TqjjbYAXNK%C}]jldaw[Gos{R3V"B~jr`lg[Vckmj~TNdzPI.ms6ZO2;2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#]MPMnfvh`YN'\ybbjQIPFC-Gdtuq5;228=4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-SGZKhl|fnSD!Zshld[CV@I'Ij~w321<67>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'YITAbjzld]J+PunfnUM\JO!C`pq}9466<90Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!_C^Ol`pjbW@%^d`h_GRDE+Efz{s7>?0:3:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+UEXEfn~`hQF/TqjjbYAXNK%Ol|}y=00:05<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%[ORC`dtnf[L)R{`dlSK^HA/Abvw;:=4>?6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/QA\IjbrdlUB#X}fnf]ETBG)Khxyu1<:>418Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)WKVGdhxbj_H-Vwlh`WOZLM#Mnrs{?6382;2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#]MPMnfvh`YN'\ybbjQIPFC-Gdtuq58<28=4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-SGZKhl|fnSD!Zshld[CV@I'Ij~w329<67>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'YITAbjzld]J+PunfnUM\JO!C`pq}94>6>80Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!_C^Ol`pjbW@%^d`h_GRDE+Hh|VKg`RLftr,SGZABFR;3Q#^L_Ckw[L)J]QIRSU9;;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,TFYJgmgiRG UrkmcZ@WOH$EcyQNlm]Amqu)XJULICU>8\,SGZDn|VC$Bhy_C^Ov|22<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%[ORC`dtnf[L)R{`dlSK^HA/LlpZGkdVHbx~ _C^EFJ^7?U'ZHSOg{_H-SGZC_WMLM4k5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.R@[Hic}eoTE"[|ioe\BUAF&GeSLbc_Ckww+VDWNOEW<6R.QA\FlrXA&ZHSBHPBtdeaw)R{lU~bd}fm/OA[VTK9?OTE<9?;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,TFYJgmgiRG UrkmcZ@WOH$EcyQNlm]Amqu)XJULICU>8\,SGZDn|VC$_XCPI6a8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)WKVGdhxbj_H-Vwlh`WOZLM#@`t^CohZDn|z$[ORIJNZ3;Y+VDWKcSD!\eu]GBC(WKVCMSIHI719V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*VDWDeoyakPI.WpmkaXNYMJ"Ca{_@no[Gos{'ZHSJKA[0:^*UEXJ`~TE"V@R^Z5b>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'YITAbjzld]J+PunfnUM\JO!Nnv\EijXJ`~x"]MPGDLX5=[)XJUIeyQF/qa\M27<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%[ORC`dtnf[L)R{`dlSK^HA/LlpZGkdVHbx~ _C^EFJ^7?U'ZHSOg{_H-sgZUre>80Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!_C^Ol`pjbW@%^d`h_GRDE+Hh|VKg`RLftr,SGZABFR;3Q#^L_Ckw[L)g{UXy`9=;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,TFYJgmgiRG UrkmcZ@WOH$EcyQNlm]Amqu)XJULICU>9\,SGZDn|VC$AXVLY^Z40>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'YITAbjzld]J+PunfnUM\JO!Nnv\EijXJ`~x"]MPGDLX5<[)XJUIeyQF/OgtTFYJ}q=?7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG PB]NkaskmVC$Y~gag^DSCD(Ig}UJ`aQMiuq-TFY@MGQ:5P _C^@jpZO(XJUNTRJIF9d8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)WKVGdhxbj_H-Vwlh`WOZLM#@`t^CohZDn|z$[ORIJNZ3:Y+VDWKcSD!_C^ME[Gsanlx$Y~kPuokpmh(JJVYY@<8J_H344>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'YITAbjzld]J+PunfnUM\JO!Nnv\EijXJ`~x"]MPGDLX5<[)XJUIeyQF/RWN[L1d3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$\NQBoewoaZO(]zcekRH_G@,MkqYFdeUIey}!PB]DAK]61T$[ORLft^K,W`rXLOL%\NQFF^FEB26<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%[ORC`dtnf[L)R{`dlSK^HA/LlpZGkdVHbx~ _C^EFJ^7>U'ZHSOg{_H-[KWY_>o1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"^L_LmgqicXA&_xeciPFQEB*KisWHfgSOg{s/R@[BCIS83V"]MPBhv\M*vdW@=:7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG PB]NkaskmVC$Y~gag^DSCD(Ig}UJ`aQMiuq-TFY@MGQ:5P _C^@jpZO(xjUXy`9=;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,TFYJgmgiRG UrkmcZ@WOH$EcyQNlm]Amqu)XJULICU>9\,SGZDn|VC$tb|PSto46>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'YITAbjzld]J+PunfnUM\JO!Nnv\EijXJ`~x"]MPGDLX65[)XJUIeyQF/LW[G\Y_?=1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"^L_LmgqicXA&_xeciPFQEB*KisWHfgSOg{s/R@[BCIS;:V"]MPBhv\M*HbYITAxv84:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+UEXEfn~`hQF/TqjjbYAXNK%BbzPAmn\Flrt&YITKH@T21_-TFYEa}UB#]MPEY]GBC>a3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$\NQBoewoaZO(]zcekRH_G@,MkqYFdeUIey}!PB]DAK]58T$[ORLft^K,TFYHNVH~jkk}/Tqf[phn{`g%AOQ\RM35AZO6?91^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"^L_LmgqicXA&_xeciPFQEB*KisWHfgSOg{s/R@[BCIS;:V"]MPBhv\M*UREVC=S!PB]AmqYN'ZoSIHI.QA\MCYCNO=;7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG PB]NkaskmVC$Y~gag^DSCD(Ig}UJ`aQMiuq-TFY@MGQ9

S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'YITAbjzld]J+PunfnUM\JO!Nnv\EijXJ`~x"]MPGDLX65[)XJUIeyQF/ymq[Vsj?;1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"^L_LmgqicXA&_xeciPFQEB*KisWHfgSOg{s/R@[BCIS;;V"]MPBhv\M*KRPJSTT::4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-SGZKhl|fnSD!Zshld[CV@I'DdxROcl^@jpv(WKVMNBV<>]/R@[GosW@%Eiz^L_Lw{31=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&ZHS@akumg\M*StagmTJ]IN.Omw[DjkWKc#^L_FGM_77Z&YITNdzPI.R@[@^XLOL3j6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/QA\IjbrdlUB#X}fnf]ETBG)Ff~TMabPBhvp*UEXOLDP>LUB=:>4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-SGZKhl|fnSD!Zshld[CV@I'DdxROcl^@jpv(WKVMNBV<>]/R@[GosW@%XY@QF7b9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*VDWDeoyakPI.WpmkaXNYMJ"Ca{_@no[Gos{'ZHSJKA[33^*UEXJ`~TE"]jt^FEB+VDW@LTHKH80:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+UEXEfn~`hQF/TqjjbYAXNK%BbzPAmn\Flrt&YITKH@T20_-TFYEa}UB#UA]_Y4e?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(XJUFci{ce^K,QvoioVL[KL Aou]BhiYEa}y%\NQHEOY15X(WKVHbxRG pb]J34=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&ZHS@akumg\M*StagmTJ]IN.Omw[DjkWKc#^L_FGM_77Z&YITNdzPI.r`[Vsj?;1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"^L_LmgqicXA&_xeciPFQEB*KisWHfgSOg{s/R@[BCIS;;V"]MPBhv\M*~hzVY~a:<4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-SGZKhl|fnSD!Zshld[CV@I'DdxROcl^@jpv(WKVMNBV<=]/R@[GosW@%FYUMV_Y57?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(XJUFci{ce^K,QvoioVL[KL Aou]BhiYEa}y%\NQHEOY16X(WKVHbxRG NduSGZKrp>>0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!_C^Ol`pjbW@%^d`h_GRDE+Hh|VKg`RLftr,SGZABFR89Q#^L_Ckw[L)WKVOSSIHI8g9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*VDWDeoyakPI.WpmkaXNYMJ"Ca{_@no[Gos{'ZHSJKA[30^*UEXJ`~TE"^L_ND\Fp`am{%^hQznhqji+KEWZXG=;KPI053?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(XJUFci{ce^K,QvoioVL[KL Aou]BhiYEa}y%\NQHEOY16X(WKVHbxRG STO\M2e<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%[ORC`dtnf[L)R{`dlSK^HA/LlpZGkdVHbx~ _C^EFJ^45U'ZHSOg{_H-PaqYCNO$[ORGI_EDE35=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&ZHS@akumg\M*StagmTJ]IN.Omw[DjkWKc#^L_FGM_74Z&YITNdzPI.ZLVZ^1n2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#]MPMnfvh`YN'\ybbjQIPFC-JjrXIefTNdz|.QA\C@H\:;W%\NQMiu]J+ueXA>;0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!_C^Ol`pjbW@%^d`h_GRDE+Hh|VKg`RLftr,SGZABFR89Q#^L_Ckw[L)wkVY~a:<4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-SGZKhl|fnSD!Zshld[CV@I'DdxROcl^@jpv(WKVMNBV<=]/R@[GosW@%scQ\ul51?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(XJUFci{ce^K,QvoioVL[KL Aou]BhiYEa}y%\NQHEOY17X(WKVHbxRG MTZ@]Z^0<2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#]MPMnfvh`YN'\ybbjQIPFC-JjrXIefTNdz|.QA\C@H\::W%\NQMiu]J+KcpXJUFyu9;;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,TFYJgmgiRG UrkmcZ@WOH$EcyQNlm]Amqu)XJULICU=3\,SGZDn|VC$\NQJX^FEB=`<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%[ORC`dtnf[L)R{`dlSK^HA/LlpZGkdVHbx~ _C^EFJ^44U'ZHSOg{_H-SGZIAWKmjh| Urg\qkotad$FNR]]L04F[L7082_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#]MPMnfvh`YN'\ybbjQIPFC-JjrXIefTNdz|.QA\C@H\::W%\NQMiu]J+VSJW@=h7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG PB]NkaskmVC$Y~gag^DSCD(Ig}UJ`aQMiuq-TFY@MGQ9?P _C^@jpZO([l~THKH!PB]JBZBAN>:0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!_C^Ol`pjbW@%^d`h_GRDE+Hh|VKg`RLftr,SGZABFR88Q#^L_Ckw[L)_G[US:k5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.R@[Hic}eoTE"[|ioe\BUAF&GeSLbc_Ckww+VDWNOEW?=R.QA\FlrXA&zhSD9>;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,TFYJgmgiRG UrkmcZ@WOH$EcyQNlm]Amqu)XJULICU=3\,SGZDn|VC$|nQ\ul51?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(XJUFci{ce^K,QvoioVL[KL Aou]BhiYEa}y%\NQHEOY17X(WKVHbxRG xnp\Wpk0:2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#]MPMnfvh`YN'\ybbjQIPFC-JjrXIefTNdz|.QA\C@H\:=W%\NQMiu]J+HS_KPUS;95Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.R@[Hic}eoTE"[|ioe\BUAF&GeSLbc_Ckww+VDWNOEW?:R.QA\FlrXA&Dn{]MPMtz40>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'YITAbjzld]J+PunfnUM\JO!Nnv\EijXJ`~x"]MPGDLX61[)XJUIeyQF/QA\A]YCNO2m7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG PB]NkaskmVC$Y~gag^DSCD(Ig}UJ`aQMiuq-TFY@MGQ98P _C^@jpZO(XJUDJRLzfggq+PubW|dbdc!MC]PVI71MVC:;=5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.R@[Hic}eoTE"[|ioe\BUAF&GeSLbc_Ckww+VDWNOEW?:R.QA\FlrXA&Y^ARG8c:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+UEXEfn~`hQF/TqjjbYAXNK%BbzPAmn\Flrt&YITKH@T25_-TFYEa}UB#^k{_EDE*UEXAOUOJK9?;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,TFYJgmgiRG UrkmcZ@WOH$EcyQNlm]Amqu)XJULICU=4\,SGZDn|VC$TB\PX7d8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)WKVGdhxbj_H-Vwlh`WOZLM#@`t^CohZDn|z$[ORIJNZ07Y+VDWKcSD!c^K45>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'YITAbjzld]J+PunfnUM\JO!Nnv\EijXJ`~x"]MPGDLX61[)XJUIeyQF/qa\Wpk0:2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#]MPMnfvh`YN'\ybbjQIPFC-JjrXIefTNdz|.QA\C@H\:=W%\NQMiu]J+}iuWZf;?5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.R@[Hic}eoTE"[|ioe\BUAF&GeSLbc_Ckww+VDWNOEW?;R.QA\FlrXA&G^TNWPX668Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)WKVGdhxbj_H-Vwlh`WOZLM#@`t^CohZDn|z$[ORIJNZ06Y+VDWKcSD!AevR@[Hs?=1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"^L_LmgqicXA&_xeciPFQEB*KisWHfgSOg{s/R@[BCIS;?V"]MPBhv\M*VDWLRTHKH7f:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+UEXEfn~`hQF/TqjjbYAXNK%BbzPAmn\Flrt&YITKH@T24_-TFYEa}UB#]MPOG]Aqc`bz&_xiR{airkn*HDX[[F::HQF1628Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)WKVGdhxbj_H-Vwlh`WOZLM#@`t^CohZDn|z$[ORIJNZ06Y+VDWKcSD!\UL]J3f=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&ZHS@akumg\M*StagmTJ]IN.Omw[DjkWKc#^L_FGM_73Z&YITNdzPI.QfpZBAN'ZHSDHPDGD44>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'YITAbjzld]J+PunfnUM\JO!Nnv\EijXJ`~x"]MPGDLX60[)XJUIeyQF/YMQ[]0a3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$\NQBoewoaZO(]zcekRH_G@,MkqYFdeUIey}!PB]DAK]5=T$[ORLft^K,tfYN?81^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"^L_LmgqicXA&_xeciPFQEB*KisWHfgSOg{s/R@[BCIS;?V"]MPBhv\M*vdWZf;?5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.R@[Hic}eoTE"[|ioe\BUAF&GeSLbc_Ckww+VDWNOEW?;R.QA\FlrXA&rd~R]zm608Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)WKVGdhxbj_H-Vwlh`WOZLM#@`t^CohZDn|z$[ORIJNZ05Y+VDWKcSD!BUYAZ[]133\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$\NQBoewoaZO(]zcekRH_G@,MkqYFdeUIey}!PB]DAK]5>T$[ORLft^K,J`qWKVG~t::4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-SGZKhl|fnSD!Zshld[CV@I'DdxROcl^@jpv(WKVMNBV<9]/R@[GosW@%[ORKW_EDET$[ORLft^K,WPKXA>i0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!_C^Ol`pjbW@%^d`h_GRDE+Hh|VKg`RLftr,SGZABFR8=Q#^L_Ckw[L)Tm}UOJK _C^KE[A@A?91^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"^L_LmgqicXA&_xeciPFQEB*KisWHfgSOg{s/R@[BCIS;;S!PB]AmqYN'yiTE:?4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-SGZKhl|fnSD!Zshld[CV@I'DdxROcl^@jpv(WKVMNBV<9]/R@[GosW@%{oR]zm608Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)WKVGdhxbj_H-Vwlh`WOZLM#@`t^CohZDn|z$[ORIJNZ05Y+VDWKcSD!wos]Pqh153\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$\NQBoewoaZO(]zcekRH_G@,MkqYFdeUIey}!PB]DAK]5?T$[ORLft^K,IP^DQVR<86[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/QA\IjbrdlUB#X}fnf]ETBG)Ff~TMabPBhvp*UEXOLDP>:S!PB]AmqYN'Go|\NQBuy57?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(XJUFci{ce^K,QvoioVL[KL Aou]BhiYEa}y%\NQHEOY13X(WKVHbxRG PB]F\ZBAN1l0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!_C^Ol`pjbW@%^d`h_GRDE+Hh|VKg`RLftr,SGZABFR8:S!PB]AmqYN'Z_FSD9l;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,TFYJgmgiRG UrkmcZ@WOH$EcyQNlm]Amqu)XJULICU=7\,SGZDn|VC$_hzPDGD-TFYNNVNMJ:>4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-SGZKhl|fnSD!Zshld[CV@I'DdxROcl^@jpv(WKVMNBV<8]/R@[GosW@%SC_QW6g9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*VDWDeoyakPI.WpmkaXNYMJ"Ca{_@no[Gos{'ZHSJKA[35^*UEXJ`~TE"~l_H52?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(XJUFci{ce^K,QvoioVL[KL Aou]BhiYEa}y%\NQHEOY13X(WKVHbxRG pb]Pqh153\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$\NQBoewoaZO(]zcekRH_G@,MkqYFdeUIey}!PB]DAK]5?T$[ORLft^K,|jtX[|g<>6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/QA\IjbrdlUB#X}fnf]ETBG)Ff~TMabPBhvp*UEXOLDP>5S!PB]AmqYN'D_SOTQW759V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*VDWDeoyakPI.WpmkaXNYMJ"Ca{_@no[Gos{'ZHSJKA[3:^*UEXJ`~TE"@jwQA\Ip~0<2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#]MPMnfvh`YN'\ybbjQIPFC-JjrXIefTNdz|.QA\C@H\:1W%\NQMiu]J+UEXMQUOJK6i;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,TFYJgmgiRG UrkmcZ@WOH$EcyQNlm]Amqu)XJULICU=8\,SGZDn|VC$\NQ@F^@vbccu'\ynSx`fsho-IGYTZE;=IRG>719V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*VDWDeoyakPI.WpmkaXNYMJ"Ca{_@no[Gos{'ZHSJKA[3:^*UEXJ`~TE"]ZM^K4g>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'YITAbjzld]J+PunfnUM\JO!Nnv\EijXJ`~x"]MPGDLX6=[)XJUIeyQF/Rgw[A@A&YITEKQKFG53?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(XJUFci{ce^K,QvoioVL[KL Aou]BhiYEa}y%\NQHEOY16[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/QA\IjbrdlUB#X}fnf]ETBG)Ff~TMabPBhvp*UEXOLDP>5S!PB]AmqYN'qeyS^{b739V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*VDWDeoyakPI.WpmkaXNYMJ"Ca{_@no[Gos{'ZHSJKA[3;^*UEXJ`~TE"CZXB[\\22<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%[ORC`dtnf[L)R{`dlSK^HA/LlpZGkdVHbx~ _C^EFJ^4>U'ZHSOg{_H-MarVDWDs;95Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.R@[Hic}eoTE"[|ioe\BUAF&GeSLbc_Ckww+VDWNOEW?7R.QA\FlrXA&ZHSHVPDGD;b>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'YITAbjzld]J+PunfnUM\JO!Nnv\EijXJ`~x"]MPGDLX6<[)XJUIeyQF/QA\KCYE}oln~"[|e^wmmvoj&DHT__B>6D]J526<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%[ORC`dtnf[L)R{`dlSK^HA/LlpZGkdVHbx~ _C^EFJ^4>U'ZHSOg{_H-PQHYN?j1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"^L_LmgqicXA&_xeciPFQEB*KisWHfgSOg{s/R@[BCIS;3V"]MPBhv\M*Ub|VNMJ#^L_HD\@C@082_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#]MPMnfvh`YN'\ybbjQIPFC-JjrXIefTNdz|.QA\C@H\:0W%\NQMiu]J+]IUWQ mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG PB]NkaskmVC$Y~gag^DSCD(Ig}UJ`aQMiuq-TFY@MGQ95P _C^@jpZO(xjUB;<5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.R@[Hic}eoTE"[|ioe\BUAF&GeSLbc_Ckww+VDWNOEW?7R.QA\FlrXA&zhS^{b739V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*VDWDeoyakPI.WpmkaXNYMJ"Ca{_@no[Gos{'ZHSJKA[3;^*UEXJ`~TE"v`r^Qvi0><]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%[ORC`dtnf[L)R{`dlSK^HA/llvhgkWyc{i{a559V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*VDWDeoyakPI.WpmkaXNYMJ"}mPwskwaZO?>2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#_khirvfvZ@ndlUB#X}fnf]ETBG)OldT^hiIimgX4X(Umncxxh|PFhnf[GosW@%^d`h_MWW2+TboOcgiRV>879V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Tbo`yiQIimg\M*StagmTJ]IN.Fgm[Wc`N`fnW=S!RdejwqcuWOcgiRLft^K,QvoioVF^X; ]efDjh`Y_:1=0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!]efkpp`tXN`fnSD!Zshld[CV@I'MnbR\jgGkoa^77U'Xnkd}{es]EmicXJ`~TE"[|ioe\HPR1&[olJdbj_Y3;3>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'[ole~zjr^Djh`YN'\ybbjQIPFC-C`hXZlmMeakT11_-V`an{}oySKgce^@jpZO(]zcekRBZT7,Qab@ndlUS>594U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Qabot|lxTJdbj_H-Vwlh`WOZLM#Ijn^PfcCokmR;:Q#\jghqwawYAaeoTNdzPI.WpmkaXD\^="_khFhnf[]7??2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#_khirvfvZ@ndlUB#X}fnf]ETBG)OldT^hiIimgX54[)Zlmbyk}_GkoaZDn|VC$Y~gag^NVP3(UmnLb`hQW2958Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)Umncxxh|PFhnf[L)R{`dlSK^HA/EfjZTboOcgiV?=]/Pfclusm{UMeakPBhv\M*StagmT@XZ9.SgdBljbWQ;3;6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/SgdmvrbzVLb`hQF/TqjjbYAXNK%Kh`PRdeEmic\9;W%^hifsugq[CokmVHbxRG UrkmcZJR\?$YijHfld][6=1<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%Yijg|tdp\BljbW@%^d`h_GRDE+AbfVXnkKgceZ30Y+Tbo`yiQIimg\FlrXA&_xeciPLTV5*Wc`N`fnSU?77:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+Wc`az~n~RHfld]J+PunfnUM\JO!Gdl\V`aAaeoP=>S!RdejwqcuWOcgiRLft^K,QvoioVF^X; ]efDjh`Y_:1=0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!]efkpp`tXN`fnSD!Zshld[CV@I'MnbR\jgGkoa^73U'Xnkd}{es]EmicXJ`~TE"[|ioe\HPR1&[olJdbj_Y3;3>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'[ole~zjr^Djh`YN'\ybbjQIPFC-C`hXZlmMeakT15_-V`an{}oySKgce^@jpZO(]zcekRBZT7,Qab@ndlUS>594U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Qabot|lxTJdbj_H-Vwlh`WOZLM#Ijn^PfcCokmR;>Q#\jghqwawYAaeoTNdzPI.WpmkaXD\^="_khFhnf[]7??2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#_khirvfvZ@ndlUB#X}fnf]ETBG)OldT^hiIimgX50[)Zlmbyk}_GkoaZDn|VC$Y~gag^NVP3(UmnLb`hQW2958Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)Umncxxh|PFhnf[L)R{`dlSK^HA/EfjZTboOcgiV?9]/Pfclusm{UMeakPBhv\M*StagmT@XZ9.SgdBljbWQ;3;6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/SgdmvrbzVLb`hQF/TqjjbYAXNK%Kh`PRdeEmic\9?W%^hifsugq[CokmVHbxRG UrkmcZJR\?$YijHfld][6=1<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%Yijg|tdp\BljbW@%^d`h_GRDE+AbfVXnkKgceZ34Y+Tbo`yiQIimg\FlrXA&_xeciPLTV5*Wc`N`fnSU?77:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+Wc`az~n~RHfld]J+PunfnUM\JO!Gdl\V`aAaeoP=:S!RdejwqcuWOcgiRLft^K,QvoioVF^X; ]efDjh`Y_:1=0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!]efkpp`tXN`fnSD!Zshld[CV@I'MnbR\jgGkoa^7?U'Xnkd}{es]EmicXJ`~TE"[|ioe\HPR1&[olJdbj_Y3;3>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'[ole~zjr^Djh`YN'\ybbjQIPFC-C`hXZlmMeakT19_-V`an{}oySKgce^@jpZO(]zcekRBZT7,Qab@ndlUS>594U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Qabot|lxTJdbj_H-Vwlh`WOZLM#Ijn^PfcCokmR;2Q#\jghqwawYAaeoTNdzPI.WpmkaXD\^="_khFhnf[]7??2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#_khirvfvZ@ndlUB#X}fnf]ETBG)OldT^hiIimgX5<[)Zlmbyk}_GkoaZDn|VC$Y~gag^NVP3(UmnLb`hQW2948Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)Umncxxh|PFhnf[L)R{`dlSK^HA/EfjZTboOcgiV?R.SgdmvrbzVLb`hQMiu]J+PunfnUGYY8!RdeEmicXP82=7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG RdejwqcuWOcgiRG UrkmcZ@WOH$LicQ]efDjh`]6U'Xnkd}{es]EmicXJ`~TE"[|ioe\HPR1&[olJdbj_Y0;3>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'[ole~zjr^Djh`YN'\ybbjQIPFC-C`hXZlmMeakT21_-V`an{}oySKgce^@jpZO(]zcekRBZT7,Qab@ndlUS=594U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Qabot|lxTJdbj_H-Vwlh`WOZLM#Ijn^PfcCokmR8;Q#\jghqwawYAaeoTNdzPI.WpmkaXD\^="_khFhnf[]4??2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#_khirvfvZ@ndlUB#X}fnf]ETBG)OldT^hiIimgX64[)Zlmbyk}_GkoaZDn|VC$Y~gag^NVP3(UmnLb`hQW1958Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)Umncxxh|PFhnf[L)R{`dlSK^HA/EfjZTboOcgiV<>]/Pfclusm{UMeakPBhv\M*StagmT@XZ9.SgdBljbWQ83;6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/SgdmvrbzVLb`hQF/TqjjbYAXNK%Kh`PRdeEmic\:;W%^hifsugq[CokmVHbxRG UrkmcZJR\?$YijHfld][5=1<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%Yijg|tdp\BljbW@%^d`h_GRDE+AbfVXnkKgceZ01Y+Tbo`yiQIimg\FlrXA&_xeciPLTV5*Wc`N`fnSU<77:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+Wc`az~n~RHfld]J+PunfnUM\JO!Gdl\V`aAaeoP>>S!RdejwqcuWOcgiRLft^K,QvoioVF^X; ]efDjh`Y_91=0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!]efkpp`tXN`fnSD!Zshld[CV@I'MnbR\jgGkoa^44U'Xnkd}{es]EmicXJ`~TE"[|ioe\HPR1&[olJdbj_Y0;3>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'[ole~zjr^Djh`YN'\ybbjQIPFC-C`hXZlmMeakT25_-V`an{}oySKgce^@jpZO(]zcekRBZT7,Qab@ndlUS=594U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Qabot|lxTJdbj_H-Vwlh`WOZLM#Ijn^PfcCokmR8?Q#\jghqwawYAaeoTNdzPI.WpmkaXD\^="_khFhnf[]4??2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#_khirvfvZ@ndlUB#X}fnf]ETBG)OldT^hiIimgX60[)Zlmbyk}_GkoaZDn|VC$Y~gag^NVP3(UmnLb`hQW1958Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)Umncxxh|PFhnf[L)R{`dlSK^HA/EfjZTboOcgiV<:]/Pfclusm{UMeakPBhv\M*StagmT@XZ9.SgdBljbWQ83;6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/SgdmvrbzVLb`hQF/TqjjbYAXNK%Kh`PRdeEmic\:?W%^hifsugq[CokmVHbxRG UrkmcZJR\?$YijHfld][5=1<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%Yijg|tdp\BljbW@%^d`h_GRDE+AbfVXnkKgceZ05Y+Tbo`yiQIimg\FlrXA&_xeciPLTV5*Wc`N`fnSU<77:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+Wc`az~n~RHfld]J+PunfnUM\JO!Gdl\V`aAaeoP>:S!RdejwqcuWOcgiRLft^K,QvoioVF^X; ]efDjh`Y_91=0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!]efkpp`tXN`fnSD!Zshld[CV@I'MnbR\jgGkoa^40U'Xnkd}{es]EmicXJ`~TE"[|ioe\HPR1&[olJdbj_Y0;3>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'[ole~zjr^Djh`YN'\ybbjQIPFC-C`hXZlmMeakT29_-V`an{}oySKgce^@jpZO(]zcekRBZT7,Qab@ndlUS=594U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Qabot|lxTJdbj_H-Vwlh`WOZLM#Ijn^PfcCokmR83Q#\jghqwawYAaeoTNdzPI.WpmkaXD\^="_khFhnf[]4??2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#_khirvfvZ@ndlUB#X}fnf]ETBG)OldT^hiIimgX6<[)Zlmbyk}_GkoaZDn|VC$Y~gag^NVP3(UmnLb`hQW1958Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)Umncxxh|PFhnf[L)R{`dlSK^HA/EfjZTboOcgiV<6]/Pfclusm{UMeakPBhv\M*StagmT@XZ9.SgdBljbWQ83:6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/SgdmvrbzVLb`hQF/TqjjbYAXNK%Kh`PRdeEmic\:T$Yijg|tdp\BljbWKcSD!Zshld[ISS>'XnkKgce^Z2<3=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&Xnkd}{es]EmicXA&_xeciPFQEB*BciW[olJdbj[3_-V`an{}oySKgce^@jpZO(]zcekRBZT7,Qab@ndlUS>594U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Qabot|lxTJdbj_H-Vwlh`WOZLM#Ijn^PfcCokmR9;Q#\jghqwawYAaeoTNdzPI.WpmkaXD\^="_khFhnf[]7??2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#_khirvfvZ@ndlUB#X}fnf]ETBG)OldT^hiIimgX75[)Zlmbyk}_GkoaZDn|VC$Y~gag^NVP3(UmnLb`hQW2958Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)Umncxxh|PFhnf[L)R{`dlSK^HA/EfjZTboOcgiV=>]/Pfclusm{UMeakPBhv\M*StagmT@XZ9.SgdBljbWQ;3;6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/SgdmvrbzVLb`hQF/TqjjbYAXNK%Kh`PRdeEmic\;8W%^hifsugq[CokmVHbxRG UrkmcZJR\?$YijHfld][6=0<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%Yijg|tdp\BljbW@%^d`h_GRDE+AbfVXnkKgceZ1^*Wc`az~n~RHfld]AmqYN'\ybbjQCUU4-V`aAaeoTT<69;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,V`an{}oySKgce^K,QvoioVL[KL Heo]Qab@ndlQ8Q#\jghqwawYAaeoTNdzPI.WpmkaXD\^="_khFhnf[]4?>2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#_khirvfvZ@ndlUB#X}fnf]ETBG)OldT^hiIimgX0X(Umncxxh|PFhnf[GosW@%^d`h_MWW2+TboOcgiRV>879V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Tbo`yiQIimg\M*StagmTJ]IN.Fgm[Wc`N`fnW9S!RdejwqcuWOcgiRLft^K,QvoioVF^X; ]efDjh`Y_:1<0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!]efkpp`tXN`fnSD!Zshld[CV@I'MnbR\jgGkoa^3Z&[ole~zjr^Djh`YEa}UB#X}fnf]OQQ0)ZlmMeakPX0:5?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(Zlmbyk}_GkoaZO(]zcekRH_G@,DakYUmnLb`hU:]/Pfclusm{UMeakPBhv\M*StagmT@XZ9.SgdBljbWQ83:6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/SgdmvrbzVLb`hQF/TqjjbYAXNK%Kh`PRdeEmic\>T$Yijg|tdp\BljbWKcSD!Zshld[ISS>'XnkKgce^Z2<3=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&Xnkd}{es]EmicXA&_xeciPFQEB*BciW[olJdbj[7_-V`an{}oySKgce^@jpZO(]zcekRBZT7,Qab@ndlUS>584U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Qabot|lxTJdbj_H-Vwlh`WOZLM#Ijn^PfcCokmR=V"_khirvfvZ@ndlUIeyQF/TqjjbYK]]<%^hiIimg\\4>13\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$^hifsugq[CokmVC$Y~gag^DSCD(@mgUYijHfldY4Y+Tbo`yiQIimg\FlrXA&_xeciPLTV5*Wc`N`fnSU<76:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+Wc`az~n~RHfld]J+PunfnUM\JO!Gdl\V`aAaeoP4P ]efkpp`tXN`fnSOg{_H-Vwlh`WE__:#\jgGkoaZ^60?1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"\jghqwawYAaeoTE"[|ioe\BUAF&NoeS_khFhnf_=[)Zlmbyk}_GkoaZDn|VC$Y~gag^NVP3(UmnLb`hQW2948Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)Umncxxh|PFhnf[L)R{`dlSK^HA/EfjZTboOcgiV7R.SgdmvrbzVLb`hQMiu]J+PunfnUGYY8!RdeEmicXP82=7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG RdejwqcuWOcgiRG UrkmcZ@WOH$LicQ]efDjh`]>U'Xnkd}{es]EmicXJ`~TE"[|ioe\HPR1&[olJdbj_Y04b>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'[oxyazPMtz\M*BF\HUXEWKPgu];*AGSIVYBVHQht^35*HgtcVNDYOBJT^OPF+SwxlxTiuzjne>3:=6<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%Yi~{ct^Ov|ZO(LH^JS^GUE^ew[=(CI]KT_DTJ_fv\53(JizaTHB[MLDV\IVD)]yzn~Rkwtdlg8586=01^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"\jstnw[HsW@%FaxvPd`vb[WcflVGjf2>6?323a=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&Xnxb{_Lw{[L)R{`dlSK^HA/PfwpjsWDsSOg{sZ2^*Wct}e~TAxvPBhv\M*Bf|hUXddh{_Lw{3f=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&Xnxb{_Lw{[L)R{`dlSK^HA/PfwpjsWDsSOg{sZ2^*Wct}e~TAxvPBhv\M*C_W[oxyazPDGD4e>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'[oxyazPMtz\M*StagmTJ]IN.SgpqirXE|rTNdz|[1_-V`urd}UFyuQMiu]J+HskWHF^S@{w7c9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Tb{|fS@{w_H-Vwlh`WOZLM#\jstnw[HsWKcV>R.SgpqirXE|rTNdzPI.PfwpjsWD_SJ89k;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,V`urd}UFyuQF/TqjjbYAXNK%^h}zlu]Nq}YEa}yP

mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG RdqvhqYJ}qUB#X}fnf]ETBG)Zly~`yQBuy]Amqu\99W%^h}zlu]Nq}YEa}UB#_k|umv\IP^A=>o0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!]erwopZKrpVC$Y~gag^DSCD(UmzgxRCzx^@jpv]68T$Yi~{ct^Ov|ZDn|VC$hlzn_RjjbqYUmz=i7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG RdqvhqYJ}qUB#X}fnf]ETBG)Zly~`yQBuy]Amqu\99W%^h}zlu]Nq}YEa}UB#`{c_@NV[Wct?l1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"\jstnw[HsW@%^d`h_GRDE+Tb{|fS@{w_Ckww^76U'Xnxb{_Lw{[GosW@%OmyoPSikepZKrp>n0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!]erwopZKrpVC$Y~gag^DSCD(UmzgxRCzx^@jpv]69T$Yi~{ct^Ov|ZDn|VC$IUQ]erwopZBAN>h0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!]erwopZKrpVC$Y~gag^DSCD(UmzgxRCzx^@jpv]69T$Yi~{ct^Ov|ZDn|VC$AxbPAMW\Ip~0k2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#_k|umv\Ip~XA&_xeciPFQEB*Wct}e~TAxvPBhvp_47Z&[oxyazPMtz\FlrXA&Xnxb{_LW[B01b3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$^h}zlu]Nq}YN'\ybbjQIPFC-V`urd}UFyuQMiuqX54[)Zly~`yQBuy]AmqYN'mkmR]gigv\V`u0j2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#_k|umv\Ip~XA&_xeciPFQEB*Wct}e~TAxvPBhvp_47Z&[oxyazPMtz\FlrXA&g~`ROCU^Pfw2c<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%Yi~{ct^Ov|ZO(]zcekRH_G@,Qavsk|VG~tRLftrY26X(UmzgxRCzx^@jpZO(Lh~jS^fffu]Nq}1c3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$^h}zlu]Nq}YN'\ybbjQIPFC-V`urd}UFyuQMiuqX57[)Zly~`yQBuy]AmqYN'LRT^h}zlu]GBC1e3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$^h}zlu]Nq}YN'\ybbjQIPFC-V`urd}UFyuQMiuqX57[)Zly~`yQBuy]AmqYN'DgSLBZ_Lw{3f=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&Xnxb{_Lw{[L)R{`dlSK^HA/PfwpjsWDsSOg{sZ31Y+Tb{|fS@{w_Ckw[L)UmzgxRCZXG74a>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'[oxyazPMtz\M*StagmTJ]IN.SgpqirXE|rTNdz|[00^*Wct}e~TAxvPBhv\M*bf|hUXddh{_Sgp3g=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&Xnxb{_Lw{[L)R{`dlSK^HA/PfwpjsWDsSOg{sZ31Y+Tb{|fS@{w_Ckw[L)j}eUJ@XQ]er5f?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(Zly~`yQBuy]J+PunfnUM\JO!RdqvhqYJ}qUIey}T12_-V`urd}UFyuQMiu]J+AgsiVYcekzPMtz4`>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'[oxyazPMtz\M*StagmTJ]IN.SgpqirXE|rTNdz|[01^*Wct}e~TAxvPBhv\M*C_W[oxyazPDGD4f>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'[oxyazPMtz\M*StagmTJ]IN.SgpqirXE|rTNdz|[01^*Wct}e~TAxvPBhv\M*KrdVKGYRCzx6a8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)UmzgxRCzx^K,QvoioVL[KL ]erwopZKrpVHbx~U>3\,Qavsk|VG~tRLft^K,V`urd}UFYUH:7d9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Tb{|fS@{w_H-Vwlh`WOZLM#\jstnw[HsWKcV?<]/PfwpjsWDsSOg{_H-geqgX[acmxR\js6`8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)UmzgxRCzx^K,QvoioVL[KL ]erwopZKrpVHbx~U>3\,Qavsk|VG~tRLft^K,ipjXIE_T^h}8e:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+Wct}e~TAxvPI.WpmkaXNYMJ"_k|umv\Ip~XJ`~xW<:R.SgpqirXE|rTNdzPI.FbpdYT``lS@{w7e9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Tb{|fS@{w_H-Vwlh`WOZLM#\jstnw[HsWKcV?;]/PfwpjsWDsSOg{_H-F\ZTb{|fSIHI7c9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Tb{|fS@{w_H-Vwlh`WOZLM#\jstnw[HsWKcV?;]/PfwpjsWDsSOg{_H-NqiYFD\UFyu9l;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,V`urd}UFyuQF/TqjjbYAXNK%^h}zlu]Nq}YEa}yP=9S!RdqvhqYJ}qUIeyQF/SgpqirXE\RM9:k4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Qavsk|VG~tRG UrkmcZ@WOH$Yi~{ct^Ov|ZDn|zQ:8P ]erwopZKrpVHbxRG d`vb[Vnnn}UYi~9m;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,V`urd}UFyuQF/TqjjbYAXNK%^h}zlu]Nq}YEa}yP=9S!RdqvhqYJ}qUIeyQF/lwo[DJRW[ox;h5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.PfwpjsWDsSD!Zshld[CV@I'Xnxb{_Lw{[Gos{R;>Q#\jstnw[HsWKcSD!Kauc\Wmoa|VG~t:j4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Qavsk|VG~tRG UrkmcZ@WOH$Yi~{ct^Ov|ZDn|zQ:9P ]erwopZKrpVHbxRG EY]Qavsk|VNMJ:l4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Qavsk|VG~tRG UrkmcZ@WOH$Yi~{ct^Ov|ZDn|zQ:9P ]erwopZKrpVHbxRG Mtn\EISXE|r mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG RdqvhqYJ}qUB#X}fnf]ETBG)Zly~`yQBuy]Amqu\9o0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!]erwopZKrpVC$Y~gag^DSCD(UmzgxRCzx^@jpv]6>T$Yi~{ct^Ov|ZDn|VC$Hlzn_RjjbqYJ}q=o7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG RdqvhqYJ}qUB#X}fnf]ETBG)Zly~`yQBuy]Amqu\9?W%^h}zlu]Nq}YEa}UB#HVPRdqvhqYCNO=i7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG RdqvhqYJ}qUB#X}fnf]ETBG)Zly~`yQBuy]Amqu\9?W%^h}zlu]Nq}YEa}UB#@{c_@NV[Hs?j1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"\jstnw[HsW@%^d`h_GRDE+Tb{|fS@{w_Ckww^71U'Xnxb{_Lw{[GosW@%Yi~{ct^OV\C30m2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#_k|umv\Ip~XA&_xeciPFQEB*Wct}e~TAxvPBhvp_40Z&[oxyazPMtz\FlrXA&njxlQ\hhdw[Wct?k1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"\jstnw[HsW@%^d`h_GRDE+Tb{|fS@{w_Ckww^71U'Xnxb{_Lw{[GosW@%fyaQNLT]Qav1b3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$^h}zlu]Nq}YN'\ybbjQIPFC-V`urd}UFyuQMiuqX52[)Zly~`yQBuy]AmqYN'MkmR]gigv\Ip~0l2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#_k|umv\Ip~XA&_xeciPFQEB*Wct}e~TAxvPBhvp_41Z&[oxyazPMtz\FlrXA&OSS_k|umv\@C@0j2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#_k|umv\Ip~XA&_xeciPFQEB*Wct}e~TAxvPBhvp_41Z&[oxyazPMtz\FlrXA&G~`ROCU^Ov|2e<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%Yi~{ct^Ov|ZO(]zcekRH_G@,Qavsk|VG~tRLftrY23X(UmzgxRCzx^@jpZO(Zly~`yQBUYD63`=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&Xnxb{_Lw{[L)R{`dlSK^HA/PfwpjsWDsSOg{sZ34Y+Tb{|fS@{w_Ckw[L)ci}kT_egit^Pfw2d<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%Yi~{ct^Ov|ZO(]zcekRH_G@,Qavsk|VG~tRLftrY23X(UmzgxRCzx^@jpZO(e|fTMA[PRdq4a>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'[oxyazPMtz\M*StagmTJ]IN.SgpqirXE|rTNdz|[0:^*Wct}e~TAxvPBhv\M*Bf|hUXddh{_Lw{3a=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&Xnxb{_Lw{[L)R{`dlSK^HA/PfwpjsWDsSOg{sZ3;Y+Tb{|fS@{w_Ckw[L)BPVXnxb{_EDE3g=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&Xnxb{_Lw{[L)R{`dlSK^HA/PfwpjsWDsSOg{sZ3;Y+Tb{|fS@{w_Ckw[L)J}eUJ@XQBuy5`?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(Zly~`yQBuy]J+PunfnUM\JO!RdqvhqYJ}qUIey}T19_-V`urd}UFyuQMiu]J+Wct}e~TAXVI56g8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)UmzgxRCzx^K,QvoioVL[KL ]erwopZKrpVHbx~U>8\,Qavsk|VG~tRLft^K,`drfWZbbjyQ]er5a?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(Zly~`yQBuy]J+PunfnUM\JO!RdqvhqYJ}qUIey}T19_-V`urd}UFyuQMiu]J+hskWHF^S_k|7d9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Tb{|fS@{w_H-Vwlh`WOZLM#\jstnw[HsWKcV?6]/PfwpjsWDsSOg{_H-GeqgX[acmxRCzx6f8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)UmzgxRCzx^K,QvoioVL[KL ]erwopZKrpVHbx~U>9\,Qavsk|VG~tRLft^K,A]YUmzgxRJIF6`8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)UmzgxRCzx^K,QvoioVL[KL ]erwopZKrpVHbx~U>9\,Qavsk|VG~tRLft^K,IpjXIE_TAxv8c:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+Wct}e~TAxvPI.WpmkaXNYMJ"_k|umv\Ip~XJ`~xW<7R.SgpqirXE|rTNdzPI.PfwpjsWD_SJ89j;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,V`urd}UFyuQF/TqjjbYAXNK%^h}zlu]Nq}YEa}yP=4S!RdqvhqYJ}qUIeyQF/ecweZUoao~T^h}8b:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+Wct}e~TAxvPI.WpmkaXNYMJ"_k|umv\Ip~XJ`~xW<7R.SgpqirXE|rTNdzPI.ovhZGK]VXn:j4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Qavsk|VG~tRG UrkmcZ@WOH$Yi~{ct^Ov|ZDn|zQ:Q#\jstnw[HsWKcSD!Kauc\Wmoa|VG~t:m4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Qavsk|VG~tRG UrkmcZ@WOH$Yi~{ct^Ov|ZDn|zQ:Q#\jstnw[HsWKcSD!JX^PfwpjsWMLM;l5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.PfwpjsWDsSD!Zshld[CV@I'Xnxb{_Lw{[Gos{R;V"_k|umv\Ip~XJ`~TE"Czl^COQZKrp>h0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!]erwopZKrpVC$Y~gag^DSCD(UmzgxRCzx^@jpv]6U'Xnxb{_Lw{[GosW@%Yi~{ct^OV\C30l2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#_k|umv\Ip~XA&_xeciPFQEB*Wct}e~TAxvPBhvp_4[)Zly~`yQBuy]AmqYN'mkmR]gigv\V`u0i2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#_k|umv\Ip~XA&_xeciPFQEB*Wct}e~TAxvPBhvp_4[)Zly~`yQBuy]AmqYN'dgSLBZ_Sgp3`=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&Xnxb{_Lw{[L)R{`dlSK^HA/PfwpjsWDsSOg{sZ03Y+Tb{|fS@{w_Ckw[L)Ci}kT_egit^Ov|2b<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%Yi~{ct^Ov|ZO(]zcekRH_G@,Qavsk|VG~tRLftrY14X(UmzgxRCzx^@jpZO(MQUYi~{ct^FEB2d<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%Yi~{ct^Ov|ZO(]zcekRH_G@,Qavsk|VG~tRLftrY14X(UmzgxRCzx^@jpZO(E|fTMA[PMtz4g>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'[oxyazPMtz\M*StagmTJ]IN.SgpqirXE|rTNdz|[32^*Wct}e~TAxvPBhv\M*Tb{|fS@[WF45f?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(Zly~`yQBuy]J+PunfnUM\JO!RdqvhqYJ}qUIey}T21_-V`urd}UFyuQMiu]J+agsiVYcekzPRdq4f>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'[oxyazPMtz\M*StagmTJ]IN.SgpqirXE|rTNdz|[32^*Wct}e~TAxvPBhv\M*krdVKGYR\js6g8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)UmzgxRCzx^K,QvoioVL[KL ]erwopZKrpVHbx~U=1\,Qavsk|VG~tRLft^K,@drfWZbbjyQBuy5g?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(Zly~`yQBuy]J+PunfnUM\JO!RdqvhqYJ}qUIey}T20_-V`urd}UFyuQMiu]J+@^XZly~`yQKFG5a?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(Zly~`yQBuy]J+PunfnUM\JO!RdqvhqYJ}qUIey}T20_-V`urd}UFyuQMiu]J+HskWHF^S@{w7b9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Tb{|fS@{w_H-Vwlh`WOZLM#\jstnw[HsWKcV<>]/PfwpjsWDsSOg{_H-Qavsk|VG^TK;8e:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+Wct}e~TAxvPI.WpmkaXNYMJ"_k|umv\Ip~XJ`~xW??R.SgpqirXE|rTNdzPI.fbpdYT``lS_k|7c9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Tb{|fS@{w_H-Vwlh`WOZLM#\jstnw[HsWKcV<>]/PfwpjsWDsSOg{_H-nqiYFD\UYi~9j;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,V`urd}UFyuQF/TqjjbYAXNK%^h}zlu]Nq}YEa}yP>?S!RdqvhqYJ}qUIeyQF/EcweZUoao~TAxv8d:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+Wct}e~TAxvPI.WpmkaXNYMJ"_k|umv\Ip~XJ`~xW?P ]erwopZKrpVHbxRG RdqvhqYJ]QL>;h5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.PfwpjsWDsSD!Zshld[CV@I'Xnxb{_Lw{[Gos{R89Q#\jstnw[HsWKcSD!kauc\Wmoa|VXn:l4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Qavsk|VG~tRG UrkmcZ@WOH$Yi~{ct^Ov|ZDn|zQ9>P ]erwopZKrpVHbxRG mtn\EISXZly mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG RdqvhqYJ}qUB#X}fnf]ETBG)Zly~`yQBuy]Amqu\::W%^h}zlu]Nq}YEa}UB#_k|umv\IP^A=>o0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!]erwopZKrpVC$Y~gag^DSCD(UmzgxRCzx^@jpv]5;T$Yi~{ct^Ov|ZDn|VC$hlzn_RjjbqYUmz=i7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG RdqvhqYJ}qUB#X}fnf]ETBG)Zly~`yQBuy]Amqu\::W%^h}zlu]Nq}YEa}UB#`{c_@NV[Wct?l1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"\jstnw[HsW@%^d`h_GRDE+Tb{|fS@{w_Ckww^43U'Xnxb{_Lw{[GosW@%OmyoPSikepZKrp>n0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!]erwopZKrpVC$Y~gag^DSCD(UmzgxRCzx^@jpv]5h0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!]erwopZKrpVC$Y~gag^DSCD(UmzgxRCzx^@jpv]5S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'[oxyazPMtz\M*StagmTJ]IN.SgpqirXE|rTNdz|[37^*Wct}e~TAxvPBhv\M*bf|hUXddh{_Sgp3g=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&Xnxb{_Lw{[L)R{`dlSK^HA/PfwpjsWDsSOg{sZ06Y+Tb{|fS@{w_Ckw[L)j}eUJ@XQ]er5f?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(Zly~`yQBuy]J+PunfnUM\JO!RdqvhqYJ}qUIey}T27_-V`urd}UFyuQMiu]J+AgsiVYcekzPMtz4`>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'[oxyazPMtz\M*StagmTJ]IN.SgpqirXE|rTNdz|[34^*Wct}e~TAxvPBhv\M*C_W[oxyazPDGD4f>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'[oxyazPMtz\M*StagmTJ]IN.SgpqirXE|rTNdz|[34^*Wct}e~TAxvPBhv\M*KrdVKGYRCzx6a8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)UmzgxRCzx^K,QvoioVL[KL ]erwopZKrpVHbx~U=6\,Qavsk|VG~tRLft^K,V`urd}UFYUH:7d9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Tb{|fS@{w_H-Vwlh`WOZLM#\jstnw[HsWKcV<9]/PfwpjsWDsSOg{_H-geqgX[acmxR\js6`8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)UmzgxRCzx^K,QvoioVL[KL ]erwopZKrpVHbx~U=6\,Qavsk|VG~tRLft^K,ipjXIE_T^h}8e:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+Wct}e~TAxvPI.WpmkaXNYMJ"_k|umv\Ip~XJ`~xW?9R.SgpqirXE|rTNdzPI.FbpdYT``lS@{w7e9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Tb{|fS@{w_H-Vwlh`WOZLM#\jstnw[HsWKcV<8]/PfwpjsWDsSOg{_H-F\ZTb{|fSIHI7c9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Tb{|fS@{w_H-Vwlh`WOZLM#\jstnw[HsWKcV<8]/PfwpjsWDsSOg{_H-NqiYFD\UFyu9l;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,V`urd}UFyuQF/TqjjbYAXNK%^h}zlu]Nq}YEa}yP>:S!RdqvhqYJ}qUIeyQF/SgpqirXE\RM9:k4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Qavsk|VG~tRG UrkmcZ@WOH$Yi~{ct^Ov|ZDn|zQ9;P ]erwopZKrpVHbxRG d`vb[Vnnn}UYi~9m;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,V`urd}UFyuQF/TqjjbYAXNK%^h}zlu]Nq}YEa}yP>:S!RdqvhqYJ}qUIeyQF/lwo[DJRW[ox;h5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.PfwpjsWDsSD!Zshld[CV@I'Xnxb{_Lw{[Gos{R83Q#\jstnw[HsWKcSD!Kauc\Wmoa|VG~t:j4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Qavsk|VG~tRG UrkmcZ@WOH$Yi~{ct^Ov|ZDn|zQ94P ]erwopZKrpVHbxRG EY]Qavsk|VNMJ:l4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Qavsk|VG~tRG UrkmcZ@WOH$Yi~{ct^Ov|ZDn|zQ94P ]erwopZKrpVHbxRG Mtn\EISXE|r mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG RdqvhqYJ}qUB#X}fnf]ETBG)Zly~`yQBuy]Amqu\:1W%^h}zlu]Nq}YEa}UB#io{a^QkmcrXZlyo0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!]erwopZKrpVC$Y~gag^DSCD(UmzgxRCzx^@jpv]51T$Yi~{ct^Ov|ZDn|VC$Hlzn_RjjbqYJ}q=o7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG RdqvhqYJ}qUB#X}fnf]ETBG)Zly~`yQBuy]Amqu\:0W%^h}zlu]Nq}YEa}UB#HVPRdqvhqYCNO=i7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG RdqvhqYJ}qUB#X}fnf]ETBG)Zly~`yQBuy]Amqu\:0W%^h}zlu]Nq}YEa}UB#@{c_@NV[Hs?j1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"\jstnw[HsW@%^d`h_GRDE+Tb{|fS@{w_Ckww^4>U'Xnxb{_Lw{[GosW@%Yi~{ct^OV\C30m2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#_k|umv\Ip~XA&_xeciPFQEB*Wct}e~TAxvPBhvp_7?Z&[oxyazPMtz\FlrXA&njxlQ\hhdw[Wct?k1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"\jstnw[HsW@%^d`h_GRDE+Tb{|fS@{w_Ckww^4>U'Xnxb{_Lw{[GosW@%fyaQNLT]Qav1c3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$^h}zlu]Nq}YN'\ybbjQIPFC-V`urd}UFyuQMiuqX6X(UmzgxRCzx^@jpZO(Lh~jS^fffu]Nq}1d3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$^h}zlu]Nq}YN'\ybbjQIPFC-V`urd}UFyuQMiuqX6X(UmzgxRCzx^@jpZO(MQUYi~{ct^FEB2g<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%Yi~{ct^Ov|ZO(]zcekRH_G@,Qavsk|VG~tRLftrY1Y+Tb{|fS@{w_Ckw[L)J}eUJ@XQBuy5a?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(Zly~`yQBuy]J+PunfnUM\JO!RdqvhqYJ}qUIey}T2\,Qavsk|VG~tRLft^K,V`urd}UFYUH:7e9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Tb{|fS@{w_H-Vwlh`WOZLM#\jstnw[HsWKcV mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG RdqvhqYJ}qUB#X}fnf]ETBG)Zly~`yQBuy]Amqu\;8W%^h}zlu]Nq}YEa}UB#Io{a^QkmcrXE|ri0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!]erwopZKrpVC$Y~gag^DSCD(UmzgxRCzx^@jpv]49T$Yi~{ct^Ov|ZDn|VC$^h}zlu]NQ]@2?l1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"\jstnw[HsW@%^d`h_GRDE+Tb{|fS@{w_Ckww^56U'Xnxb{_Lw{[GosW@%omyoPSikepZTb{>h0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!]erwopZKrpVC$Y~gag^DSCD(UmzgxRCzx^@jpv]49T$Yi~{ct^Ov|ZDn|VC$axbPAMW\V`u0l2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#_k|umv\Ip~XA&_xeciPFQEB*Wct}e~TAxvPBhvp_6[)Zly~`yQBuy]AmqYN'MkmR]gigv\Ip~0k2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#_k|umv\Ip~XA&_xeciPFQEB*Wct}e~TAxvPBhvp_6[)Zly~`yQBuy]AmqYN'LRT^h}zlu]GBC1f3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$^h}zlu]Nq}YN'\ybbjQIPFC-V`urd}UFyuQMiuqX7X(UmzgxRCzx^@jpZO(E|fTMA[PMtz4f>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'[oxyazPMtz\M*StagmTJ]IN.SgpqirXE|rTNdz|[2_-V`urd}UFyuQMiu]J+Wct}e~TAXVI56f8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)UmzgxRCzx^K,QvoioVL[KL ]erwopZKrpVHbx~U<]/PfwpjsWDsSOg{_H-geqgX[acmxR\js6c8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)UmzgxRCzx^K,QvoioVL[KL ]erwopZKrpVHbx~U<]/PfwpjsWDsSOg{_H-nqiYFD\UYi~9k;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,V`urd}UFyuQF/TqjjbYAXNK%^h}zlu]Nq}YEa}yP8P ]erwopZKrpVHbxRG D`vb[Vnnn}UFyu9l;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,V`urd}UFyuQF/TqjjbYAXNK%^h}zlu]Nq}YEa}yP8P ]erwopZKrpVHbxRG EY]Qavsk|VNMJ:o4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Qavsk|VG~tRG UrkmcZ@WOH$Yi~{ct^Ov|ZDn|zQ?Q#\jstnw[HsWKcSD!Bum]BHPYJ}q=i7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG RdqvhqYJ}qUB#X}fnf]ETBG)Zly~`yQBuy]Amqu\S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'[oxyazPMtz\M*StagmTJ]IN.SgpqirXE|rTNdz|[6_-V`urd}UFyuQMiu]J+AgsiVYcekzPMtz4g>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'[oxyazPMtz\M*StagmTJ]IN.SgpqirXE|rTNdz|[6_-V`urd}UFyuQMiu]J+@^XZly~`yQKFG5b?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(Zly~`yQBuy]J+PunfnUM\JO!RdqvhqYJ}qUIey}T7\,Qavsk|VG~tRLft^K,IpjXIE_TAxv8b:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+Wct}e~TAxvPI.WpmkaXNYMJ"_k|umv\Ip~XJ`~xW:S!RdqvhqYJ}qUIeyQF/SgpqirXE\RM9:j4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Qavsk|VG~tRG UrkmcZ@WOH$Yi~{ct^Ov|ZDn|zQ mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG RdqvhqYJ}qUB#X}fnf]ETBG)Zly~`yQBuy]Amqu\0T$Yi~{ct^Ov|ZDn|VC$Hlzn_RjjbqYJ}q=h7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG RdqvhqYJ}qUB#X}fnf]ETBG)Zly~`yQBuy]Amqu\0T$Yi~{ct^Ov|ZDn|VC$IUQ]erwopZBAN>k0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!]erwopZKrpVC$Y~gag^DSCD(UmzgxRCzx^@jpv]?U'Xnxb{_Lw{[GosW@%FyaQNLT]Nq}1e3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$^h}zlu]Nq}YN'\ybbjQIPFC-V`urd}UFyuQMiuqX=1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"]gigv\HjankVGdhxbj_H-Pll`sWEelenQ]erwopZdf{`i7==094:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+Vnnn}UGcjgl_LmgqicXA&YcekzPLnejgZTb{|fSoo|ib>25;033\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$_egit^NlcleXEfn~`hQF/RjjbqYKgnchS_k|umv\fdunk5;92;:4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Pll`sWEelenQBoewoaZO([acmxRB`gha\V`urd}Uim~gl<01=21=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&YcekzPLnejgZKhl|fnSD!\hhdw[Ii`ajUYi~{ct^`bwle;9=4=86[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/RjjbqYKgnchS@akumg\M*Uoao~T@bifc^PfwpjsWkkxen2>5?47?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO([acmxRB`gha\IjbrdlUB#^fffu]OkbodW[oxyazPb`qjg9716?>0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!\hhdw[Ii`ajUFci{ce^K,Wmoa|VFdkdmPRdqvhqYeizch0<91659V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Uoao~T@bifc^Ol`pjbW@%Xddh{_MmdmfYUmzgxRlnsha?5=81<2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#^fffu]OkbodWDeoyakPI.QkmcrXDfmboR\jstnw[ggtaj6:538<;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,Wmoa|VFdkdmPMnfvh`YN'ZbbjyQCofk`[Wct}e~Tnl}fc=3=21=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&YcekzPLnejgZKhl|fnSD!\hhdw[Ii`ajUYi~{ct^`bwle;:94=86[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/RjjbqYKgnchS@akumg\M*Uoao~T@bifc^PfwpjsWkkxen2=1?47?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO([acmxRB`gha\IjbrdlUB#^fffu]OkbodW[oxyazPb`qjg9456?>0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!\hhdw[Ii`ajUFci{ce^K,Wmoa|VFdkdmPRdqvhqYeizch0?=1659V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Uoao~T@bifc^Ol`pjbW@%Xddh{_MmdmfYUmzgxRlnsha?6181<2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#^fffu]OkbodWDeoyakPI.QkmcrXDfmboR\jstnw[ggtaj69938;;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,Wmoa|VFdkdmPMnfvh`YN'ZbbjyQCofk`[Wct}e~Tnl}fc=05:32<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%Xddh{_MmdmfYJgmgiRG SikepZJho`iT^h}zlu]aevod4;=5:95Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.QkmcrXDfmboRC`dtnf[L)T``lSAahib]Qavsk|Vhjdm329<50>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'ZbbjyQCofk`[Hic}eoTE"]gigv\HjankVXnxb{_ccpmf:517<87X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG SikepZJho`iTAbjzld]J+Vnnn}UGcjgl_SgpqirXjhybo1<1659V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Uoao~T@bifc^Ol`pjbW@%Xddh{_MmdmfYUmzgxRlnsha?7581<2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#^fffu]OkbodWDeoyakPI.QkmcrXDfmboR\jstnw[ggtaj68=38<;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,Wmoa|VFdkdmPMnfvh`YN'ZbbjyQCofk`[Wct}e~Tnl}fc=1=26=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&YcekzPLnejgZKhl|fnSD!\hhdw[Ii`ajUYi~{ct^`bwle;<7<87X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG SikepZJho`iTAbjzld]J+Vnnn}UGcjgl_SgpqirXjhybo1;1629V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Uoao~T@bifc^Ol`pjbW@%Xddh{_MmdmfYUmzgxRlnsha?2;043\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$_egit^NlcleXEfn~`hQF/RjjbqYKgnchS_k|umv\fdunk5=5:>5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.QkmcrXDfmboRC`dtnf[L)T``lSAahib]Qavsk|Vhjdm38?40?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO([acmxRB`gha\IjbrdlUB#^fffu]OkbodW[oxyazPb`qjg9?90;1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"]gigv\HjankVGdhxbj_H-Vwlh`WOZLM#]gigv\HjankVHbx~U?]/QkmcrXDfmboRLft^K,HjankVF^X5<4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Pll`sWEelenQBoewoaZO(]zcekRH_G@,Pll`sWEelenQMiuqX4X(T``lSAahib]AmqYN'ZbbjyQCUU:;?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO([acmxRB`gha\IjbrdlUB#X}fnf]ETBG)[acmxRB`gha\FlrtS9W%_egit^NlcleXJ`~TE"]gigv\HjankVG~t5:4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Pll`sWEelenQBoewoaZO(]zcekRH_G@,Pll`sWEelenQMiuqX4X(T``lSAahib]AmqYN'eelenQ]er]j<7=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&YcekzPLnejgZKhl|fnSD!Zshld[CV@I'YcekzPLnejgZDn|zQ;Q#]gigv\HjankVHbxRG sikepZTb{190Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!\hhdw[Ii`ajUFci{ce^K,QvoioVL[KL \hhdw[Ii`ajUIey}T11_-Wmoa|VFdkdmPBhv\M*Jho`iT@XZ73:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+Vnnn}UGcjgl_LmgqicXA&_xeciPFQEB*Vnnn}UGcjgl_Ckww^77U'YcekzPLnejgZDn|VC$_egit^NVP=?<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%Xddh{_MmdmfYJgmgiRG UrkmcZ@WOH$Xddh{_MmdmfYEa}yP==S!SikepZJho`iTNdzPI.QkmcrXDfmboRCzx978Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)T``lSAahib]NkaskmVC$Y~gag^DSCD(T``lSAahib]Amqu\99W%_egit^NlcleXJ`~TE"b`gha\V`uXa190Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!\hhdw[Ii`ajUFci{ce^K,QvoioVL[KL \hhdw[Ii`ajUIey}T11_-Wmoa|VFdkdmPBhv\M*uoao~T^h}73:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+Vnnn}UGcjgl_LmgqicXA&_xeciPFQEB*Vnnn}UGcjgl_Ckww^76U'YcekzPLnejgZDn|VC$@bifc^NVP=5<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%Xddh{_MmdmfYJgmgiRG UrkmcZ@WOH$Xddh{_MmdmfYEa}yP=]/QkmcrXDfmboRLft^K,hjankVXnRg73:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+Vnnn}UGcjgl_LmgqicXA&_xeciPFQEB*Vnnn}UGcjgl_Ckww^76U'YcekzPLnejgZDn|VC$egit^Pfw=5<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%Xddh{_MmdmfYJgmgiRG UrkmcZ@WOH$Xddh{_MmdmfYEa}yP=?S!SikepZJho`iTNdzPI.NlcleXD\^3?6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/RjjbqYKgnchS@akumg\M*StagmTJ]IN.RjjbqYKgnchSOg{sZ31Y+Uoao~T@bifc^@jpZO([acmxRBZT9;8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)T``lSAahib]NkaskmVC$Y~gag^DSCD(T``lSAahib]Amqu\9;W%_egit^NlcleXJ`~TE"]gigv\HjankVG~t5;4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Pll`sWEelenQBoewoaZO(]zcekRH_G@,Pll`sWEelenQMiuqX57[)[acmxRB`gha\FlrXA&fdkdmPRdq\m=5<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%Xddh{_MmdmfYJgmgiRG UrkmcZ@WOH$Xddh{_MmdmfYEa}yP=?S!SikepZJho`iTNdzPI.qkmcrXZly3?6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/RjjbqYKgnchS@akumg\M*StagmTJ]IN.RjjbqYKgnchSOg{sZ30Y+Uoao~T@bifc^@jpZO(DfmboRBZT918Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)T``lSAahib]NkaskmVC$Y~gag^DSCD(T``lSAahib]Amqu\9:W%_egit^NlcleXJ`~TE"]gigv\HPR?12_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#^fffu]OkbodWDeoyakPI.WpmkaXNYMJ"^fffu]OkbodWKcV?<]/QkmcrXDfmboRLft^K,Wmoa|VFdkdmPMtz;1>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'ZbbjyQCofk`[Hic}eoTE"[|ioe\BUAF&ZbbjyQCofk`[Gos{R;8Q#]gigv\HjankVHbxRG lnejgZTb{Vc3?6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/RjjbqYKgnchS@akumg\M*StagmTJ]IN.RjjbqYKgnchSOg{sZ30Y+Uoao~T@bifc^@jpZO({acmxR\js918Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)T``lSAahib]NkaskmVC$Y~gag^DSCD(T``lSAahib]Amqu\9=W%_egit^NlcleXJ`~TE"B`gha\HPR?;2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#^fffu]OkbodWDeoyakPI.WpmkaXNYMJ"^fffu]OkbodWKcV?;]/QkmcrXDfmboRLft^K,Wmoa|VF^X574U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Pll`sWEelenQBoewoaZO(]zcekRH_G@,Pll`sWEelenQMiuqX51[)[acmxRB`gha\FlrXA&YcekzPLnejgZKrp1?0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!\hhdw[Ii`ajUFci{ce^K,QvoioVL[KL \hhdw[Ii`ajUIey}T15_-Wmoa|VFdkdmPBhv\M*jho`iT^h}Pi918Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)T``lSAahib]NkaskmVC$Y~gag^DSCD(T``lSAahib]Amqu\9=W%_egit^NlcleXJ`~TE"}gigv\V`u?;2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#^fffu]OkbodWDeoyakPI.WpmkaXNYMJ"^fffu]OkbodWKcV?:]/QkmcrXDfmboRLft^K,HjankVF^X5=4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Pll`sWEelenQBoewoaZO(]zcekRH_G@,Pll`sWEelenQMiuqX50[)[acmxRB`gha\FlrXA&YcekzPLTV;=>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'ZbbjyQCofk`[Hic}eoTE"[|ioe\BUAF&ZbbjyQCofk`[Gos{R;>Q#]gigv\HjankVHbxRG SikepZJho`iTAxv75:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+Vnnn}UGcjgl_LmgqicXA&_xeciPFQEB*Vnnn}UGcjgl_Ckww^72U'YcekzPLnejgZDn|VC$`bifc^PfwZo?;2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#^fffu]OkbodWDeoyakPI.WpmkaXNYMJ"^fffu]OkbodWKcV?:]/QkmcrXDfmboRLft^K,wmoa|VXn5=4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Pll`sWEelenQBoewoaZO(]zcekRH_G@,Pll`sWEelenQMiuqX53[)[acmxRB`gha\FlrXA&FdkdmPLTV;7>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'ZbbjyQCofk`[Hic}eoTE"[|ioe\BUAF&ZbbjyQCofk`[Gos{R;=Q#]gigv\HjankVHbxRG SikepZJR\130Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!\hhdw[Ii`ajUFci{ce^K,QvoioVL[KL \hhdw[Ii`ajUIey}T17_-Wmoa|VFdkdmPBhv\M*Uoao~T@bifc^Ov|=3<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%Xddh{_MmdmfYJgmgiRG UrkmcZ@WOH$Xddh{_MmdmfYEa}yP=;S!SikepZJho`iTNdzPI.nlcleXZlyTe5=4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Pll`sWEelenQBoewoaZO(]zcekRH_G@,Pll`sWEelenQMiuqX53[)[acmxRB`gha\FlrXA&ycekzPRdq;7>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'ZbbjyQCofk`[Hic}eoTE"[|ioe\BUAF&ZbbjyQCofk`[Gos{R;S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'ZbbjyQCofk`[Hic}eoTE"[|ioe\BUAF&ZbbjyQCofk`[Gos{R;U'YcekzPLnejgZDn|VC$@bifc^NVP=5<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%Xddh{_MmdmfYJgmgiRG UrkmcZ@WOH$Xddh{_MmdmfYEa}yP=4S!SikepZJho`iTNdzPI.QkmcrXD\^356[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/RjjbqYKgnchS@akumg\M*StagmTJ]IN.RjjbqYKgnchSOg{sZ3:Y+Uoao~T@bifc^@jpZO([acmxRB`gha\Ip~?=2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#^fffu]OkbodWDeoyakPI.WpmkaXNYMJ"^fffu]OkbodWKcV?6]/QkmcrXDfmboRLft^K,hjankVXnRg73:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+Vnnn}UGcjgl_LmgqicXA&_xeciPFQEB*Vnnn}UGcjgl_Ckww^7>U'YcekzPLnejgZDn|VC$egit^Pfw=4<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%Xddh{_MmdmfYJgmgiRG UrkmcZ@WOH$Xddh{_MmdmfYEa}yP=P \hhdw[Ii`ajUIeyQF/MmdmfYK]]297X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG SikepZJho`iTAbjzld]J+PunfnUM\JO!SikepZJho`iTNdz|[0_-Wmoa|VFdkdmPBhv\M*Uoao~T@XZ78:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+Vnnn}UGcjgl_LmgqicXA&_xeciPFQEB*Vnnn}UGcjgl_Ckww^7Z&ZbbjyQCofk`[GosW@%Xddh{_MmdmfYJ}q2?7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG SikepZJho`iTAbjzld]J+PunfnUM\JO!SikepZJho`iTNdz|[0_-Wmoa|VFdkdmPBhv\M*jho`iT^h}Pi908Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)T``lSAahib]NkaskmVC$Y~gag^DSCD(T``lSAahib]Amqu\9T$Xddh{_MmdmfYEa}UB#~fffu]Qav>43\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$_egit^NlcleXEfn~`hQF/TqjjbYAXNK%_egit^NlcleXJ`~xW?>R.RjjbqYKgnchSOg{_H-OkbodWE__4>5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.QkmcrXDfmboRC`dtnf[L)R{`dlSK^HA/QkmcrXDfmboRLftrY14X(T``lSAahib]AmqYN'ZbbjyQCUU::?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO([acmxRB`gha\IjbrdlUB#X}fnf]ETBG)[acmxRB`gha\FlrtS;:V"^fffu]OkbodWKcSD!\hhdw[Ii`ajUFyu6:;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,Wmoa|VFdkdmPMnfvh`YN'\ybbjQIPFC-Wmoa|VFdkdmPBhvp_76Z&ZbbjyQCofk`[GosW@%gcjgl_Sgp[l>43\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$_egit^NlcleXEfn~`hQF/TqjjbYAXNK%_egit^NlcleXJ`~xW?>R.RjjbqYKgnchSOg{_H-pll`sW[ox4>5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.QkmcrXDfmboRC`dtnf[L)R{`dlSK^HA/QkmcrXDfmboRLftrY15X(T``lSAahib]AmqYN'EelenQCUU:0?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO([acmxRB`gha\IjbrdlUB#X}fnf]ETBG)[acmxRB`gha\FlrtS;;V"^fffu]OkbodWKcSD!\hhdw[ISS001^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"]gigv\HjankVGdhxbj_H-Vwlh`WOZLM#]gigv\HjankVHbx~U=1\,Pll`sWEelenQMiu]J+Vnnn}UGcjgl_Lw{<0=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&YcekzPLnejgZKhl|fnSD!Zshld[CV@I'YcekzPLnejgZDn|zQ9=P \hhdw[Ii`ajUIeyQF/mmdmfYUmzUb4>5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.QkmcrXDfmboRC`dtnf[L)R{`dlSK^HA/QkmcrXDfmboRLftrY15X(T``lSAahib]AmqYN'zbbjyQ]er:0?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO([acmxRB`gha\IjbrdlUB#X}fnf]ETBG)[acmxRB`gha\FlrtS;8V"^fffu]OkbodWKcSD!Cofk`[ISS0:1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"]gigv\HjankVGdhxbj_H-Vwlh`WOZLM#]gigv\HjankVHbx~U=2\,Pll`sWEelenQMiu]J+Vnnn}UGYY66;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,Wmoa|VFdkdmPMnfvh`YN'\ybbjQIPFC-Wmoa|VFdkdmPBhvp_74Z&ZbbjyQCofk`[GosW@%Xddh{_MmdmfYJ}q2>7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG SikepZJho`iTAbjzld]J+PunfnUM\JO!SikepZJho`iTNdz|[30^*Vnnn}UGcjgl_Ckw[L)kgnchS_k|_h:0?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO([acmxRB`gha\IjbrdlUB#X}fnf]ETBG)[acmxRB`gha\FlrtS;8V"^fffu]OkbodWKcSD!|hhdw[Wct0:1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"]gigv\HjankVGdhxbj_H-Vwlh`WOZLM#]gigv\HjankVHbx~U=3\,Pll`sWEelenQMiu]J+Ii`ajUGYY6<;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,Wmoa|VFdkdmPMnfvh`YN'\ybbjQIPFC-Wmoa|VFdkdmPBhvp_75Z&ZbbjyQCofk`[GosW@%Xddh{_MWW<<=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&YcekzPLnejgZKhl|fnSD!Zshld[CV@I'YcekzPLnejgZDn|zQ9?P \hhdw[Ii`ajUIeyQF/RjjbqYKgnchS@{w849V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Uoao~T@bifc^Ol`pjbW@%^d`h_GRDE+Uoao~T@bifc^@jpv]5;T$Xddh{_MmdmfYEa}UB#aahib]QavYn0:1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"]gigv\HjankVGdhxbj_H-Vwlh`WOZLM#]gigv\HjankVHbx~U=3\,Pll`sWEelenQMiu]J+vnnn}UYi~6<;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,Wmoa|VFdkdmPMnfvh`YN'\ybbjQIPFC-Wmoa|VFdkdmPBhvp_72Z&ZbbjyQCofk`[GosW@%Gcjgl_MWW<6=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&YcekzPLnejgZKhl|fnSD!Zshld[CV@I'YcekzPLnejgZDn|zQ98P \hhdw[Ii`ajUIeyQF/RjjbqYK]]227X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG SikepZJho`iTAbjzld]J+PunfnUM\JO!SikepZJho`iTNdz|[36^*Vnnn}UGcjgl_Ckw[L)T``lSAahib]Nq}>23\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$_egit^NlcleXEfn~`hQF/TqjjbYAXNK%_egit^NlcleXJ`~xW?:R.RjjbqYKgnchSOg{_H-okbodW[oxSd6<;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,Wmoa|VFdkdmPMnfvh`YN'\ybbjQIPFC-Wmoa|VFdkdmPBhvp_72Z&ZbbjyQCofk`[GosW@%xddh{_Sgp<6=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&YcekzPLnejgZKhl|fnSD!Zshld[CV@I'YcekzPLnejgZDn|zQ99P \hhdw[Ii`ajUIeyQF/MmdmfYK]]287X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG SikepZJho`iTAbjzld]J+PunfnUM\JO!SikepZJho`iTNdz|[37^*Vnnn}UGcjgl_Ckw[L)T``lSA[[889V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Uoao~T@bifc^Ol`pjbW@%^d`h_GRDE+Uoao~T@bifc^@jpv]5=T$Xddh{_MmdmfYEa}UB#^fffu]OkbodWDs485Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.QkmcrXDfmboRC`dtnf[L)R{`dlSK^HA/QkmcrXDfmboRLftrY11X(T``lSAahib]AmqYN'eelenQ]er]j<6=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&YcekzPLnejgZKhl|fnSD!Zshld[CV@I'YcekzPLnejgZDn|zQ99P \hhdw[Ii`ajUIeyQF/rjjbqYUmz287X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG SikepZJho`iTAbjzld]J+PunfnUM\JO!SikepZJho`iTNdz|[34^*Vnnn}UGcjgl_Ckw[L)KgnchSA[[829V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Uoao~T@bifc^Ol`pjbW@%^d`h_GRDE+Uoao~T@bifc^@jpv]5>T$Xddh{_MmdmfYEa}UB#^fffu]OQQ>>3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$_egit^NlcleXEfn~`hQF/TqjjbYAXNK%_egit^NlcleXJ`~xW?8R.RjjbqYKgnchSOg{_H-Pll`sWEelenQBuy:6?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO([acmxRB`gha\IjbrdlUB#X}fnf]ETBG)[acmxRB`gha\FlrtS; mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG SikepZJho`iTAbjzld]J+PunfnUM\JO!SikepZJho`iTNdz|[34^*Vnnn}UGcjgl_Ckw[L)t``lS_k|829V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Uoao~T@bifc^Ol`pjbW@%^d`h_GRDE+Uoao~T@bifc^@jpv]5?T$Xddh{_MmdmfYEa}UB#Aahib]OQQ>43\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$_egit^NlcleXEfn~`hQF/TqjjbYAXNK%_egit^NlcleXJ`~xW?9R.RjjbqYKgnchSOg{_H-Pll`sWE__445Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.QkmcrXDfmboRC`dtnf[L)R{`dlSK^HA/QkmcrXDfmboRLftrY13X(T``lSAahib]AmqYN'ZbbjyQCofk`[Hs0<1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"]gigv\HjankVGdhxbj_H-Vwlh`WOZLM#]gigv\HjankVHbx~U=7\,Pll`sWEelenQMiu]J+ii`ajUYi~Qf829V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Uoao~T@bifc^Ol`pjbW@%^d`h_GRDE+Uoao~T@bifc^@jpv]5?T$Xddh{_MmdmfYEa}UB#~fffu]Qav>43\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$_egit^NlcleXEfn~`hQF/TqjjbYAXNK%_egit^NlcleXJ`~xW?6R.RjjbqYKgnchSOg{_H-OkbodWE__4>5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.QkmcrXDfmboRC`dtnf[L)R{`dlSK^HA/QkmcrXDfmboRLftrY1Z&ZbbjyQCofk`[GosW@%gcjgl_Sgp[l>43\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$_egit^NlcleXEfn~`hQF/TqjjbYAXNK%_egit^NlcleXJ`~xW?6R.RjjbqYKgnchSOg{_H-pll`sW[ox4>5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.QkmcrXDfmboRC`dtnf[L)R{`dlSK^HA/QkmcrXDfmboRLftrY1=X(T``lSAahib]AmqYN'EelenQCUU:0?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO([acmxRB`gha\IjbrdlUB#X}fnf]ETBG)[acmxRB`gha\FlrtS;3V"^fffu]OkbodWKcSD!\hhdw[ISS001^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"]gigv\HjankVGdhxbj_H-Vwlh`WOZLM#]gigv\HjankVHbx~U=9\,Pll`sWEelenQMiu]J+Vnnn}UGcjgl_Lw{<0=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&YcekzPLnejgZKhl|fnSD!Zshld[CV@I'YcekzPLnejgZDn|zQ95P \hhdw[Ii`ajUIeyQF/mmdmfYUmzUb4>5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.QkmcrXDfmboRC`dtnf[L)R{`dlSK^HA/QkmcrXDfmboRLftrY1=X(T``lSAahib]AmqYN'zbbjyQ]er:1?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO([acmxRB`gha\IjbrdlUB#X}fnf]ETBG)[acmxRB`gha\FlrtS;W%_egit^NlcleXJ`~TE"B`gha\HPR?:2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#^fffu]OkbodWDeoyakPI.WpmkaXNYMJ"^fffu]OkbodWKcVS7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'ZbbjyQCofk`[Hic}eoTE"[|ioe\BUAF&ZbbjyQCofk`[Gos{R9;Q#]gigv\HjankVHbxRG LnejgZJR\190Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!\hhdw[Ii`ajUFci{ce^K,QvoioVL[KL \hhdw[Ii`ajUIey}T31_-Wmoa|VFdkdmPBhv\M*Uoao~T@XZ79:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+Vnnn}UGcjgl_LmgqicXA&_xeciPFQEB*Vnnn}UGcjgl_Ckww^57U'YcekzPLnejgZDn|VC$_egit^NlcleXE|r396[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/RjjbqYKgnchS@akumg\M*StagmTJ]IN.RjjbqYKgnchSOg{sZ13Y+Uoao~T@bifc^@jpZO(dfmboR\js^k;7>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'ZbbjyQCofk`[Hic}eoTE"[|ioe\BUAF&ZbbjyQCofk`[Gos{R9;Q#]gigv\HjankVHbxRG sikepZTb{190Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!\hhdw[Ii`ajUFci{ce^K,QvoioVL[KL \hhdw[Ii`ajUIey}T30_-Wmoa|VFdkdmPBhv\M*Jho`iT@XZ73:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+Vnnn}UGcjgl_LmgqicXA&_xeciPFQEB*Vnnn}UGcjgl_Ckww^56U'YcekzPLnejgZDn|VC$_egit^NVP=?<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%Xddh{_MmdmfYJgmgiRG UrkmcZ@WOH$Xddh{_MmdmfYEa}yP?6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/RjjbqYKgnchS@akumg\M*StagmTJ]IN.RjjbqYKgnchSOg{sZ1^*Vnnn}UGcjgl_Ckw[L)t``lS_k|839V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Uoao~T@bifc^Ol`pjbW@%^d`h_GRDE+Uoao~T@bifc^@jpv]3U'YcekzPLnejgZDn|VC$@bifc^NVP=4<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%Xddh{_MmdmfYJgmgiRG UrkmcZ@WOH$Xddh{_MmdmfYEa}yP8P \hhdw[Ii`ajUIeyQF/RjjbqYK]]237X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG SikepZJho`iTAbjzld]J+PunfnUM\JO!SikepZJho`iTNdz|[5_-Wmoa|VFdkdmPBhv\M*Uoao~T@bifc^Ov|=2<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%Xddh{_MmdmfYJgmgiRG UrkmcZ@WOH$Xddh{_MmdmfYEa}yP8P \hhdw[Ii`ajUIeyQF/mmdmfYUmzUb4?5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.QkmcrXDfmboRC`dtnf[L)R{`dlSK^HA/QkmcrXDfmboRLftrY7Y+Uoao~T@bifc^@jpZO({acmxR\js908Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)T``lSAahib]NkaskmVC$Y~gag^DSCD(T``lSAahib]Amqu\=T$Xddh{_MmdmfYEa}UB#Aahib]OQQ>53\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$_egit^NlcleXEfn~`hQF/TqjjbYAXNK%_egit^NlcleXJ`~xW8S!SikepZJho`iTNdzPI.QkmcrXD\^346[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/RjjbqYKgnchS@akumg\M*StagmTJ]IN.RjjbqYKgnchSOg{sZ7^*Vnnn}UGcjgl_Ckw[L)T``lSAahib]Nq}>33\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$_egit^NlcleXEfn~`hQF/TqjjbYAXNK%_egit^NlcleXJ`~xW8S!SikepZJho`iTNdzPI.nlcleXZlyTe5<4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Pll`sWEelenQBoewoaZO(]zcekRH_G@,Pll`sWEelenQMiuqX1X(T``lSAahib]AmqYN'zbbjyQ]er:1?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO([acmxRB`gha\IjbrdlUB#X}fnf]ETBG)[acmxRB`gha\FlrtS?W%_egit^NlcleXJ`~TE"B`gha\HPR?:2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#^fffu]OkbodWDeoyakPI.WpmkaXNYMJ"^fffu]OkbodWKcV8R.RjjbqYKgnchSOg{_H-Pll`sWE__455Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.QkmcrXDfmboRC`dtnf[L)R{`dlSK^HA/QkmcrXDfmboRLftrY5Y+Uoao~T@bifc^@jpZO([acmxRB`gha\Ip~?<2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#^fffu]OkbodWDeoyakPI.WpmkaXNYMJ"^fffu]OkbodWKcV8R.RjjbqYKgnchSOg{_H-okbodW[oxSd6=;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,Wmoa|VFdkdmPMnfvh`YN'\ybbjQIPFC-Wmoa|VFdkdmPBhvp_3[)[acmxRB`gha\FlrXA&ycekzPRdq;6>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'ZbbjyQCofk`[Hic}eoTE"[|ioe\BUAF&ZbbjyQCofk`[Gos{R=V"^fffu]OkbodWKcSD!Cofk`[ISS0;1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"]gigv\HjankVGdhxbj_H-Vwlh`WOZLM#]gigv\HjankVHbx~U8]/QkmcrXDfmboRLft^K,Wmoa|VF^X564U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Pll`sWEelenQBoewoaZO(]zcekRH_G@,Pll`sWEelenQMiuqX3X(T``lSAahib]AmqYN'ZbbjyQCofk`[Hs0=1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"]gigv\HjankVGdhxbj_H-Vwlh`WOZLM#]gigv\HjankVHbx~U8]/QkmcrXDfmboRLft^K,hjankVXnRg72:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+Vnnn}UGcjgl_LmgqicXA&_xeciPFQEB*Vnnn}UGcjgl_Ckww^1Z&ZbbjyQCofk`[GosW@%xddh{_Sgp<7=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&YcekzPLnejgZKhl|fnSD!Zshld[CV@I'YcekzPLnejgZDn|zQ3Q#]gigv\HjankVHbxRG LnejgZJR\180Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!\hhdw[Ii`ajUFci{ce^K,QvoioVL[KL \hhdw[Ii`ajUIey}T8\,Pll`sWEelenQMiu]J+Vnnn}UGYY67;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,Wmoa|VFdkdmPMnfvh`YN'\ybbjQIPFC-Wmoa|VFdkdmPBhvp_=[)[acmxRB`gha\FlrXA&YcekzPLnejgZKrp1>0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!\hhdw[Ii`ajUFci{ce^K,QvoioVL[KL \hhdw[Ii`ajUIey}T8\,Pll`sWEelenQMiu]J+ii`ajUYi~Qf839V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Uoao~T@bifc^Ol`pjbW@%^d`h_GRDE+Uoao~T@bifc^@jpv]?U'YcekzPLnejgZDn|VC$egit^Pfw=4<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%Xddh{_MmdmfYJgmgiRG UrkmcZ@WOH$Xddh{_MmdmfYEa}yP5P \hhdw[Ii`ajUIeyQF/MmdmfYK]]297X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG SikepZJho`iTAbjzld]J+PunfnUM\JO!SikepZJho`iTNdz|[8_-Wmoa|VFdkdmPBhv\M*Uoao~T@XZ78:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+Vnnn}UGcjgl_LmgqicXA&_xeciPFQEB*Vnnn}UGcjgl_Ckww^?Z&ZbbjyQCofk`[GosW@%Xddh{_MmdmfYJ}q2?7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG SikepZJho`iTAbjzld]J+PunfnUM\JO!SikepZJho`iTNdz|[8_-Wmoa|VFdkdmPBhv\M*jho`iT^h}Pi908Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)T``lSAahib]NkaskmVC$Y~gag^DSCD(T``lSAahib]Amqu\1T$Xddh{_MmdmfYEa}UB#~fffu]Qav2e3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$_egit^NlcleXEfn~`hQF/lqa11=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&YcekzPLnejgZKhl|fnSD!`p0]pll`s494>96[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/RjjbqYKgnchS@akumg\M*iw9Vycekz328<7b>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'ZbbjyQCofk`[Hic}eoTE"}jxu>3:06<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%Xddh{_MmdmfYJgmgiRG sdzw8586=;1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"]gigv\HjankVGdhxbj_H-pa}r;87;:=8?4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-Pll`sWEelenQBoewoaZO({lr0=0=1768Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)]m{eTHhzjcu]J+PunfnUM\JO!P`pw[J`XRlxdSNo}rx]Ppdts>>1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"Tjrn]Gaqcd|VC$Y~gag^DSCD(Wi{~TCkQUesm\GdtuqVYmzPru50?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(RlxdSIk{ebv\M*StagmTJ]IN.[gqkZBb|lieciT0\,J[Ugu|VEmSWk}o^Ffp`es?:1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"Tjrn]Gaqcd|VC$Y~gag^DSCD(]m{eTHhzjcukmc^7Z&@U[mzPOg]YawiXLl~noy9<;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,^`thWMoinzPI.WpmkaXNYMJ"Wk}o^Ffp`esagmP>P F_QcqpZIaWSoycRJjtdaw36=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&Pn~bQKeug`pZO(]zcekRH_G@,YawiXLl~noygagZ1^*LYWi{~TCkQUesm\@`rbk}=87X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG Zdpl[Acsmj~TE"[|ioe\BUAF&SoycRJjtdawmka\S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'SoycRJjtdaw[L)R{`dlSK^HA/ljfgjbWSoyc1>114c8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)]m{eTHhzjcu]J+PunfnUM\JO!nh`ah`Y]m{e7=3;m;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,^`thWMoinzPI.WpmkaXNYMJ"cgmbmg\^`th484:9l5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.XfvjYCm}ohxRG UrkmcZ@WOH$eeolce^Xfvj:5607b?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(RlxdSIk{ebv\M*StagmTJ]IN.okaficXRlxd090:b:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+_cugVNnxhm{_H-Vwlh`WOZLM#`fbcnf[_cug5>5=8o4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-YawiXLl~noyQF/TqjjbYAXNK%bdlmld]Yawi;=7?i7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG Zdpl[Acsmj~TE"[|ioe\BUAF&gcinakPZdpl8086=?1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"Tjrn]Gaqcd|VC$Y~gag^DSCD(}m{eTOD2?>448Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)]m{eTHhzjcu]J+PunfnUM\JO!zdpl[FO;97?=7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG Zdpl[Acsmj~TE"[|ioe\BUAF&soycRMF<3<62>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'SoycRJjtdaw[L)R{`dlSK^HA/xfvjYDA5959;5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.XfvjYCm}ohxRG UrkmcZ@WOH$qiaPCH>7:00<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%QiaPDdvfgqYN'\ybbjQIPFC-~`thWJC793:>;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,eisXZly~`y2<0?62?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(ieT^h}zlu>05;5a3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$iuQ]erwop969<91^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"kw_Sgpqir;994?<6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/dz\V`urd}6:=3:?;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,a}YUmzgx1?=>528Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)bpVXnxb{<01=05=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&osS_k|umv?518382_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#hvPRdqvhq:6=7>;7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG ey]Qavsk|5;=29>4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-f|ZTb{|f0<91419V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*cW[oxyaz319<74>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'lrT^h}zlu>2=;5a3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$iuQ]erwop979<91^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"kw_Sgpqir;:94?<6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/dz\V`urd}69=3:?;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,a}YUmzgx1<=>528Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)bpVXnxb{<31=05=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&osS_k|umv?618382_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#hvPRdqvhq:5=7>;7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG ey]Qavsk|58=29>4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-f|ZTb{|f0?91419V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*cW[oxyaz329<74>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'lrT^h}zlu>1=;5a3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$iuQ]erwop949<91^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"kw_Sgpqir;;94?<6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/dz\V`urd}68=3=i;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,a}YUmzgx1=13g9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*cW[oxyaz34?1e?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(mqUYi~{ct=7=7c=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&osS_k|umv?2;5a3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$iuQ]erwop919;o1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"kw_Sgpqir;079m7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG ey]Qavsk|535?n5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.ms5Zo;9948o6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/nr2[l:6979h7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG oq3\m9756:i0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!`p0]j8459;j1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"a1^k?5184k2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#b~>_h>21;5d3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$c}?Pi=35:6e<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%d|S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'fz:Sd2>9?1a?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(gy;Te1?13b9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*iw9Vc7>=0m4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-lt4Yn4;95?n5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.ms5Zo;:=48o6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/nr2[l:5=79h7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG oq3\m9416:i0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!`p0]j8719;j1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"a1^k?6=84j2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#b~>_h>1:6d<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%d|S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'fz:Sd2;>2`8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)hx8Ub080l4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-lt4Yn4>48n6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/nr2[l:?6:h0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!`p0]j8<84j2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#b~=_h>3:6e<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%d|?Qf<02=7f=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&e{>Rg310<0g>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'fz9Sd2>2?1`?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(gy8Te1?<>2a8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)hx;Ub0<:13b9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*iw:Vc7=80m4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-lt7Yn4825?n5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.ms6Zo;9048n6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/nr1[l:66:i0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!`p3]j8769;j1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"a2^k?6484k2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#b~=_h>16;5d3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$c}Rg324<0g>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'fz9Sd2=6?1`?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(gy8Te1<8>2a8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)hx;Ub0?613b9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*iw:Vc7>40m4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-lt7Yn4::5?n5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.ms6Zo;;848n6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/nr1[l:46:h0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!`p3]j8184j2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#b~=_h>6:6d<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%d|?Qf<7<0f>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'fz9Sd28>2`8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)hx;Ub050k4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-sgZIAW@6:43=j;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,tfYHNVC7=4013d9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*vdWFLTE1<>>2g8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)wkVEMSD2=2?1f?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(xjUDJRG322<0a>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'yiTCKQF<36=7`=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&zhSBHPI=06:6c<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%{oRAI_H>12;5b3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$|nQ@F^K?6284m2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#}mPOG]J87>9;l1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"~l_ND\M94>6:h0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!}ef3?5584j2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#kh1=32:6d<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%yij?313<0f>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'{ol=1?<>2`8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)umn;7=902>l4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-qab7;9?48n6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/sgd59706:h0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!}ef3?5=84j2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#kh1=3::6g<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%yij?31?1a?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(zlm:0?>13c9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*tbo869=3=m;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,v`a64;85?o5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.pfc4:5;79i7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG rde28729;k1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"|jg0>11;5e3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$~hi><34=7g=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&xnk<2=7?1a?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(zlm:0?613c9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*tbo86953=n;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,v`a64;48n6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/sgd59576:h0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!}ef3?7484i2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#kh1=1=7d=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&xnk<2;>2c8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$OmyoPFmmt[L)umn;793=n;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,v`a64?48m6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AgsiVLgczQF/sgd5919;h1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"|jg0>;:6g<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%yij?39?1e?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(zlm:SIo{a=2=05=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&xnk;7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG rde2[Agsi5;929>4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-qab7XLh~j0<=1419V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*tbo8UOmyo315<74>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'{ol=RJnt`>21;273\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$~hi>_Ecwe9716=:0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!}ef3\@drf48=58=5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.pfc4YCi}k7=50;0:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+wc`9VNjxl2>9?1e?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(zlm:SIo{a=3=05=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&xnk;7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG rde2[Agsi58929>4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-qab7XLh~j0?=1419V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*tbo8UOmyo325<74>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'{ol=RJnt`>11;273\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$~hi>_Ecwe9416=:0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!}ef3\@drf4;=58=5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.pfc4YCi}k7>50;0:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+wc`9VNjxl2=9?1e?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(zlm:SIo{a=0=05=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&xnk mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG rde2[Agsi595?k5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.pfc4YCi}k783=i;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)Lh~jSKb`w^K,v`a6WMkm1;13g9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*tbo8UOmyo36?1e?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(zlm:SIo{a=5=7c=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&xnk_Ecwe9?9;o1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"|jg3]Geqg;87>;7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG rde1[Agsi5;;29>4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-qab4XLh~j0S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'{ol>RJnt`>27;273\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$~hi=_Ecwe9736=:0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!}ef0\@drf48?58=5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.pfc7YCi}k7=;0;0:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+wc`:VNjxl2>7?63?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(zlm9SIo{a=3;:16<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%yij;7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG rde1[Agsi58;29>4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-qab4XLh~j0??1419V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*tbo;UOmyo323<74>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Io{a^DokrYN'{ol>RJnt`>17;273\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$~hi=_Ecwe9436=:0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!}ef0\@drf4;?58=5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.pfc7YCi}k7>;0;0:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MkmRHcov]J+wc`:VNjxl2=7?63?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(zlm9SIo{a=0;:16<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil Kauc\BiipW@%yij;7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG rde1[Agsi59;29>4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(Ci}kTJaax_H-qab4XLh~j0>?13g9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*tbo;UOmyo33?1e?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(zlm9SIo{a=6=7c=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jnt`]EhjqXA&xnk?QKauc?1;5a3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!D`vb[CjhVC$~hi=_Ecwe909;o1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FbpdYAdf}TE"|jg3]Geqg;?79m7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@drfWOfd{RG rde1[Agsi525?k5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+Bf|hUM`byPI.pfc7YCi}k753=k;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)LlidhhQF/BmntdtbW@dxx=:;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)LlidhhQF/E]BW45b3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Ddal``YN'LRTJd||t^Azgic4j2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.Eg`kacXA&L[YRM`ne>3:6d<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil KebmgaZO(NY_TOb`k<0<0e>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*@W]VIdbiQ?3`9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'Nnobjj_H-ETPYDggnT=9m4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG GOF\57YhWNDOS<;Ve?o6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AcdgmoTE"IAD^31[jYW_[U:>RaPMTZ\075?Wf>h7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@`ehllUB#J@K_00\kZVPZV;9SbQBUY]766?Xg<:0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$KCJP13]l[lht|{UDJV=>]^OV\Z25;9Ud8k5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+BbkfnnSD!HNE]26ZiXagy~RAI[5_\atsfdV??Sb;?;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)LlidhhQF/FLG[44XgVcey|POGY7YZcv}hfT99Q`15d8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$Oinake^K,CKBX9;UdSd`|ts]LB^?ZWD_SS9=62^m0e>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*OjeVCey|>419V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'Nnobjj_H-Jjqcuz|zSLmd<1<74>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*Oi|lxyy}zPAbi?5;5a3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Ddal``YN'@di|zpu]BgnY7<11^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FfgjbbW@%Bbyk}rtrw[DelW9Ul`xkPsdv0b>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*Oi|lxyy}zPAbi\51><]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil KebmgaZO(Ag~n~{t^C`oZ7XoenS~k{4d9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'Nnobjj_H-Jjqcuz|zSK]C_@vlileXIGNT=;9Po5d8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$Oinake^K,Mkrbz{{xRH\L^CwkhodWHDOS<88_n30`>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*Jho`iTC}k}<1<0`>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*Jho`iTC}k}<0<0g>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*Jho`iTC}k}_11`?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hhm`dd]J+Ii`ajUD|h|P12;8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$Oinake^K,IVTXKhxyu8<4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG Mlw{[BHCW88TcRIAD^31[jYJ]QU?=8>Po070?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hhm`dd]J+HkrpVMEHR?=_n]DJAY6:VeTAXVP4073[j76=:1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FfgjbbW@%FaxvPGOF\57YhWNDOS< mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@`ehllUB#@czx^EM@Z75WfU[[_Q>2^m\IP^X<;93Sb?>529V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'Nnobjj_H-Nip~XOGNT=?Q`_QUQ[44XgVG^TR:=38]l54303\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Ddal``YN'Dg~tRIAD^31[jYnfz~ySBHT30_\IP^X<;9;Sb?>579V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'Nnobjj_H-Nip~XOGNT=?Q`_hlppwYHNR3VS@[W_51:6Zi69=:0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$A`{w_LQQ[Fguzp;:?k5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+BbkfnnSD!Bmtz\KuUbd8U[O<:<;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)LlidhhQF/Lov|ZIw[lf:S]M>_RU316=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)Je|rT\Z\P13]l[BHCW88TcRCZX^6235Yh98?87X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@`ehllUB#@czx^RTVZ75WfULBIQ>2^m\IP^X<8=;Sb?=529V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'Nnobjj_H-Nip~XX^XT=?Q`_FLG[44XgVG^TR:>71]l51303\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Ddal``YN'Dg~tR^XR^31[jY@FMU:>RaPMTZ\0417Wf;?S^Y?489V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'Nnobjj_H-Nip~XZlmTXh}{_DsveiYIW`;:8:5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+BbkfnnSD!Bmtz\V`aX\lySHzam]j545a3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Ddal``YN'Dg~tRocu^Ms[L76;o1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FfgjbbW@%FaxvPamw\KuYN:8>;7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@`ehllUB#@czx^coqZIwW@C:=9>4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG Mlw{[djrWFzTED<>559V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'Nnobjj_H-Nip~XmqU]magk_hlKmgosWLRTAXVP4027[j7202_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.Eg`kacXA&GfyuQjx^TbhlbXagBbndzPEY]NQ]Y399>Tc_h327c=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)Je|rTjb|le3]j54243\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Ddal``YN'Dg~tRh`rbg\Rdj6W`;:885Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+BbkfnnSD!Bmtz\bjtdmV\j`?Qa_h3203=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)Je|rTfxc_bcqv|5X{lf:=8o4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG Mlw{[kii~hfbhRGIeuak[kYNZlkouRCZX^6140Yh98>?7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@`ehllUB#@czx^wpaZGK]VIj~w>1558Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$Oinake^K,IhsW|ynS_kh_Ogd[AOXa8;?:6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AcdgmoTE"Cbuy]vw`YUmnUEijQ\_h3202=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)J}eUCmcjcioe-igguWocyy=7;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)LlidhhQF/QA\Mkeu9:30Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$\NQXrhvf51e<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil KebmgaZO(X^XT=?Q`_FLG[44XgVG^TR:>71]l15=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)W_[U:>RaPIDzw[@~dmy~bccQNNE]14Zi292_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.Eg`kacXA&Z\^R?=_n]JA}rXMqin|yg`n^CM@Z47Wf;>86[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AcdgmoTE"^XR^31[jYnfz~ySBHT0\]fupgkW8;?Sb2?>076?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hhm`dd]J+UQUW88TcRgasup\KC]7UVozylbP106\k96998??7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@`ehllUB#]Y]_00\kZoi{}xTCKU?]^grqdjX98?Tc1>11478Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$Oinake^K,TRTX9;UdSd`|ts]LB^6ZWl{~maQ>14]l85869=l0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$\Z\P13]l[lht|{UDJV>R_dsveiY>1Ve>?6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AcdgmoTE"^XR^31[jYnfz~ySBHT0\]fupgkW03Tc1>115g8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$Oinake^K,TRTX9;UdSd`|ts]LB^46UVKEHR<>_n6e?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hhm`dd]J+UQUW88TcRgasup\KC]59TUJBIQ=1^m215=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)W_[U:>RaPioqwvZIAS;;VSL@K_33\k473n2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.Eg`kacXA&Z\^R?=_n]jjvruWFLP>]^CM@Z45Wf>m7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@`ehllUB#]Y]_00\kZoi{}xTCKU=1\]BJAY5:Ve:9=5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+BbkfnnSD!_WS]26ZiXagy~RAI[37^[`wrieU<11;72=2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.Eg`kacXA&Z\^R?=_n]jjvruWFLP:PQ\emg`pZ>2Wf;T_Z>:0:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MohcikPI.RTVZ75WfUbb~z}_NDX2XYTmeohxR7=_n77?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hhm`dd]J+UQUW88TcRgasup\KC]1UVYn`hm{_80\kZUP9<:0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$\Z\P13]l[qglmVCexQAov]BJAY69;Ud9<5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+BbkfnnSD!_WS]26ZiX|hanSD`{r^LlsZGILV;:>Ra>569V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'Nnobjj_H-SSWY6:VeTxlej_HlwvZHhVOj~awPAOF\52?Xg8<;7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@`ehllUB#]|jFdv`lZDrnooySD!Bxnp\fp`am{UJhi|PSto?5;Yg5:5=;>4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG PsgEaqeoWKmjh|PI.O{kwYe}oln~ROkds]Pqh:56Vrd0=0>619V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'Nnobjj_H-Sv`@b|jbTNxhies]J+H~hzVh~jkk}_@fgvZUre595Sua30?355>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*VumOooeQMugdfvZO(]zcekRH_G@,Aqc`bzVNMJ~U>]/DGWZO1n2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.Eg`kacXA&ZyiKk{ci]Aqc`bzVC$Y~gag^DSCD(E}oln~RJIFrY2Y+drnooySLjkr^OV\F_XD?l0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$\kIeuak[GsanlxTE"[|ioe\BUAF&Kmjh|PDGDp_4[)j|lmiQNdep\\JTDQVC==6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AcdgmoTE"^}eGgwgmYE}oln~RG UrkmcZ@WOH$Iykhjr^FEBv]5U'LO_RG9f:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MohcikPI.RqaCcskaUIykhjr^K,QvoioVL[KL MugdfvZBANzQ9Q#lzfggq[DbczVG^TNWPL7d8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$Oinake^K,TwcAm}icSO{ifdp\M*StagmTJ]IN.Cweb`tXLOLxW?S!btdeawYFlmxTTB\LY^K55>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*VumOooeQMugdfvZO(]zcekRH_G@,Aqc`bzVNMJ~U<]/DGWZO1n2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.Eg`kacXA&ZyiKk{ci]Aqc`bzVC$Y~gag^DSCD(E}oln~RJIFrY0Y+drnooySLjkr^OV\F_XD?l0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$\kIeuak[GsanlxTE"[|ioe\BUAF&Kmjh|PDGDp_6[)j|lmiQNdep\\JTDQVC3=6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AcdgmoTE"^}eGgwgmYE}oln~RG UrkmcZ@WOH$[~hHjtbj\Fp`am{yP

LUB#X}j_tljwlk)EKUX^A?9E^K2<7=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)WzlLnxnfPBtdeawYN'\ybbjQIPFC-TwcAm}icSO{ifdpp_47Z&ZXG=;KPI.WpaZsiazcf"@LPSSN22@YN9180Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$\kIeuak[GsanlxTE"[|ioe\BUAF&YxnJhzlh^@vbccu{R;9Q#]]L04F[L)R{lU~bd}fm/OA[VTK9?OTE<6=;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)LlidhhQF/QpfB`rd`VH~jkk}_H-Vwlh`WOZLM#^}eGgwgmYE}oln~~U>3\,PVI71MVC$Y~kPuokpmh(JJVYY@<8J_H3;6>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*VumOooeQMugdfvZO(]zcekRH_G@,Sv`@b|jbTNxhiesqX51[)[[F::HQF/Tqf[phn{`g%AOQ\RM35AZO60;1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FfgjbbW@%[~hHjtbj\Fp`am{UB#X}fnf]ETBG)X{oMiymg_Cweb`ttS8?V"^\C17G\M*StmVee~gb.L@\WWJ6>LUB=5<4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG PsgEaqeoWKmjh|PI.WpmkaXNYMJ"]|jFdv`lZDrnooyV?9]/QQH40BW@%^hQznhqji+KEWZXG=;KPI0:1?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hhm`dd]J+UtbNl~hdRLzfggq[L)R{`dlSK^HA/RqaCcskaUIykhjrrY23X(TZE;=IRG Urg\qkotad$FNR]]L04F[L7?:2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.Eg`kacXA&ZyiKk{ci]Aqc`bzVC$Y~gag^DSCD(WzlLnxnfPBtdeawu\91W%__B>6D]J+PubW|dbdc!MC]PVI71MVC:4?5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+BbkfnnSD!_rdDfpfnXJ|lmiQF/TqjjbYAXNK%\kIeuak[GsanlxxW<7R.RPO53CXA&_xiR{airkn*HDX[[F::HQF1938Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$Oinake^K,TwcAm}icSO{ifdp\M*StagmTJ]IN.QpfB`rd`VH~jkk}sZ3^*VTK9?OTE"[|e^wmmvoj&DHT__B>6D]J5=4<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil KebmgaZO(X{oMiymg_Cweb`tXA&_xeciPFQEB*UtbNl~hdRLzfggqw^47U'YY@<8J_H-Vw`Yrf`yba#CM_RPO53CXA8297X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@`ehllUB#]|jFdv`lZDrnooySD!Zshld[CV@I'ZyiKk{ci]Aqc`bzzQ9=P \RM35AZO(]zoTycg|il,NFZUUD8LUB#X}j_tljwlk)EKUX^A?9E^K2<7=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)WzlLnxnfPBtdeawYN'\ybbjQIPFC-TwcAm}icSO{ifdpp_75Z&ZXG=;KPI.WpaZsiazcf"@LPSSN22@YN9180Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$\kIeuak[GsanlxTE"[|ioe\BUAF&YxnJhzlh^@vbccu{R8?Q#]]L04F[L)R{lU~bd}fm/OA[VTK9?OTE<6=;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)LlidhhQF/QpfB`rd`VH~jkk}_H-Vwlh`WOZLM#^}eGgwgmYE}oln~~U=5\,PVI71MVC$Y~kPuokpmh(JJVYY@<8J_H3;6>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*VumOooeQMugdfvZO(]zcekRH_G@,Sv`@b|jbTNxhiesqX63[)[[F::HQF/Tqf[phn{`g%AOQ\RM35AZO60;1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FfgjbbW@%[~hHjtbj\Fp`am{UB#X}fnf]ETBG)X{oMiymg_Cweb`ttS;=V"^\C17G\M*StmVee~gb.L@\WWJ6>LUB=5<4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG PsgEaqeoWKmjh|PI.WpmkaXNYMJ"]|jFdv`lZDrnooyV<7]/QQH40BW@%^hQznhqji+KEWZXG=;KPI0:1?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hhm`dd]J+UtbNl~hdRLzfggq[L)R{`dlSK^HA/RqaCcskaUIykhjrrY1=X(TZE;=IRG Urg\qkotad$FNR]]L04F[L7?92_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.Eg`kacXA&ZyiKk{ci]Aqc`bzVC$Y~gag^DSCD(WzlLnxnfPBtdeawu\:T$X^A?9E^K,QvcX}gcxe` BB^QQH40BW@;3>6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AcdgmoTE"^}eGgwgmYE}oln~RG UrkmcZ@WOH$[~hHjtbj\Fp`am{yP?=S!SSN22@YN'\ynSx`fsho-IGYTZE;=IRG>839V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'Nnobjj_H-Sv`@b|jbTNxhies]J+PunfnUM\JO!PsgEaqeoWKmjh||[23^*VTK9?OTE"[|e^wmmvoj&DHT__B>6D]J5=7<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil KebmgaZO(X{oMiymg_Cweb`tXA&_xeciPFQEB*UtbNl~hdRLzfggqw^5Z&ZXG=;KPI.WpaZsiazcf"@LPSSN22@YN91;0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$\kIeuak[GsanlxTE"[|ioe\BUAF&YxnJhzlh^@vbccu{R>V"^\C17G\M*StmVee~gb.L@\WWJ6>LUB=5?4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG PsgEaqeoWKmjh|PI.WpmkaXNYMJ"]|jFdv`lZDrnooyV;R.RPO53CXA&_xiR{airkn*HDX[[F::HQF1938Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$Oinake^K,TwcAm}icSO{ifdp\M*StagmTJ]IN.QpfB`rd`VH~jkk}sZ4^*VTK9?OTE"[|e^wmmvoj&DHT__B>6D]J5=7<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil KebmgaZO(X{oMiymg_Cweb`tXA&_xeciPFQEB*UtbNl~hdRLzfggqw^1Z&ZXG=;KPI.WpaZsiazcf"@LPSSN22@YN91;0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$\kIeuak[GsanlxTE"[|ioe\BUAF&YxnJhzlh^@vbccu{R2V"^\C17G\M*StmVee~gb.L@\WWJ6>LUB=5?4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG PsgEaqeoWKmjh|PI.WpmkaXNYMJ"]|jFdv`lZDrnooyV7R.RPO53CXA&_xiR{airkn*HDX[[F::HQF14d8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$Oinake^K,TwcAm}icSO{ifdp\M*StagmTJ]IN.cweb`tXImnyS^QF<0<6b>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*VumOooeQMugdfvZO(]zcekRH_G@,aqc`bzVKohQ\_H>1:0`<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil KebmgaZO(X{oMiymg_Cweb`tXA&_xeciPFQEB*gsanlxTMij}_R]J8682j2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.Eg`kacXA&ZyiKk{ci]Aqc`bzVC$Y~gag^DSCD(hnV|j`djPFEP\M00<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil KebmgaZO(X{oMiymg_Cweb`tXA&h~jkk}_@fgvZEfz{s7>3;9;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)LlidhhQF/QpfB`rd`VH~jkk}_H-aqc`bzVKohQLaspz8682<2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.Eg`kacXA&ZyiKk{ci]Aqc`bzVC$nxhies]B`atX[|g7=3;;;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)LlidhhQF/QpfB`rd`VH~jkk}_H-aqc`bzVKohQ\ul>1:02<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil KebmgaZO(X{oMiymg_Cweb`tXA&h~jkk}_@fgvZUre5959=5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+BbkfnnSD!_rdDfpfnXJ|lmiQF/cweb`tXN|fgSD?:0:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MohcikPI.RqaCcskaUIykhjr^K,kcYQiecoSho}lx72?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hhm`dd]J+UtbNl~hdRLzfggq[L)hnV\j`djPe`po}4343\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Ddal``YN'YxnJhzlh^@vbccuW@%yi~k{_Cweb`tXImny995Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+BbkfnnSD!_rdDfpfnXJ|lmiQF/sgpaqYE}oln~ROkds376>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*TboV^nyQJqtco[KYn<91^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FfgjbbW@%YijQ[erv\AtsfdVc8m6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AcdgmoTE"\jg^Uqmqc6 mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@`ehllUB#_k|eu]LVZBuaoyMCJLlnahAkgedl;;=9h4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG RdqfpZIUWMxbzh|NNEAokfmBfhhgi106e?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hhm`dd]J+Wctm}UD^RJ}iwgqEKBDdfi`Icomld0515=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)UmzoSB\PDskuawGILJfdofKaacnf6373n2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.Eg`kacXA&XnhzPOS]GvlpbzHDOOaalkDlbfic50<:0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$^h}jt^MQ[Atn~lxJBIMcobiFjddkm;2:8h5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+BbkfnnSD!]ergw[JTXL{c}iOADBnlgnCiikfn?9h4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG RdqfpZIUWMxbzh|NNEAokfmBfhhgi>?m4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG SdnfgqYKgnch?;5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+BbkfnnSD!\eyv2263<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil KebmgaZO([lr4>k4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG SikepZEfz{sTEc=m;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)LlidhhQF/RkdjZC|ldo895Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+BbkfnnSD!\ifl\A}rbfmUl`xkPsdv0f>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*Si{`meiiQ@p5;8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$Oinake^K,QvoioVL[KL NLT]@ewt~WONYI9o4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG UrkmcZ@WOH$J@XQLaspz[HS_KP><7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@`ehllUB#X}fnf]ETBG)IE_TC]>PFEPF02=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)R{`dlSK^HA/COQZIW9VLO^H:j;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)LlidhhQF/TqjjbYAXNK%Ob|}ebv\GdtuqVG^TNW;b:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MohcikPI.WpmkaXNYMJ"Hv{_OO\FWMXNMXXI984U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG UrkmcZ@WOH$Mcmj1^DGV@213\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Ddal``YN'\ybbjQIPFC-Bjtdm;UMH_K;a:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MohcikPI.WpmkaXNYMJ"Ka}cd]Uei7XNMXN8o5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+BbkfnnSD!Zshld[CV@I'Ld~nkPV`n1[CBU[L??7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@`ehllUB#X}fnf]ETBG)NfxhiRXnl3]E@WUBWnf~iR}jt438Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$Oinake^K,QvoioVL[KL F_bmqv`esWJky~tQ\emg`p1d<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil KebmgaZO(]zcekRH_G@,JjqtXKhxyuRCZXB[71>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*StagmTJ]IN.LWOPZGILVC?n6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AcdgmoTE"[|ioe\BUAF&D_SOTQEULR\GDTUQ=i0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$Y~gag^DSCD(J]QIRSG[BP^ABVW_5S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*StagmTJ]IN.NrPai7X[YXT_hbjcu]OQQY3h7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@`ehllUB#X}fnf]ETBG)ZlyTJb|xasf2[ISS;=i0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$Y~gag^DSCD(UmzUMcynre3\HPR3 mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@`ehllUB#X}fnf]ETBG)]zcekRL}e`ibficXX`zn"Yode^KmpwYJ]QIRS<8>;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)LlidhhQF/TqjjbYAXNK%Y~gag^@qadmfjeoT\d~j.UchaZOi|{UFYUMV_342?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hhm`dd]J+PunfnUM\JO!UrkmcZDumhajnakPPhrf*QglmVCexQBUYAZ[62c3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Ddal``YN'\ybbjQIPFC-gicffVcYiljv_Lw{G\373\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Ddal``YN'\ybbjQIPFC-gicffVcYiljv_Lw{G\Yu|=30Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$Y~gag^DSCD(ag{inSig>_MWW71?<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil KebmgaZO(]zcekRH_G@,ekwebWmc9SA[[45`8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$Oinake^K,QvoioVL[KL iosaf[osjx8UGYY=;b:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MohcikPI.WpmkaXNYMJ"ka}cd]iqhv5WE__8974U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG UrkmcZ@WOH$bJhzlh^Ov|F_X9=30Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$Y~gag^DSCD(nNl~hdRCzxB[\61?<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil KebmgaZO(]zcekRH_G@,jB`rd`VG~tNWP35f8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$Oinake^K,QvoioVL[KL `f^Rjt`TrfVG~tNWP1438Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$Oinake^K,QvoioVL[KL `f^Rjt`TrfVYn`hm{_MWW00?<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil KebmgaZO(]zcekRH_G@,lbZVnxlX~bRyftimvpZbumhnrSA[[45;8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$Oinake^K,QvoioVL[KL }er]ekwqfzm;T=974U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG UrkmcZ@WOH$yi~Qiosubva7X:=30Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$Y~gag^DSCD(umzUmcynre3\71?<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil KebmgaZO(]zcekRH_G@,qavYag{}j~i974U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG UrkmcZ@WOH$yi~Qiosubva4X;<20Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$Y~gag^fzjdknkVcey|PAefqavu)genTEHQyamwf7a=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)Pz`~nS^z}ocg273=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)Xf93:9>j4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG _o2:507XAG\T<>j4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG abvjr`Ypibo~|9:4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG abvjr`Ypibo~|R||tqmw7g=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)fd|UD|RG30?1a?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hhm`dd]J+djrWFzTE1?13b9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'Nnobjj_H-bhpYHxVCB0=0l4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG btdeawYA}ef8:6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AcdgmoTE"lvtd]j7f=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)ddlkeSd\jae{0`>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*ehz{ohxRMnrs{0b>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*ehz{ohxRMnrs{\M16<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil KebmgaZO(kfxyinzPC`pq}ZON<=1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FfgjbbW@%hc|jcu]@ewt~WZoginz<6:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MohcikPI.f\EVYN;m1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FfgjbbW@%oSL]PI^pppuis;o1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FfgjbbW@%oiaov_rnlpZlrey>;7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@`ehllUB#ikcax]phjrXb|g{=>k4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG dnwah`rXZlkoSd:8;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)LlidhhQF/emvficsW[ojhRgPgmwf[vcs;01^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FfgjbbW@%ocxlceu]j7f=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)czlkouRXnlhf77>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*bumhnrS[ocie3\MKPX8=90Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$icomld]Jjqcuz|zRG<8:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MohcikPI.g{[Sgkam9m7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@`ehllUB#hvPV`nj`Ztt|ye?;5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+BbkfnnSD!iosaf56><]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil KebmgaZO(nfxhi389V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'Nnobjj_H-ekwebWMC9?l5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+BbkfnnSD!iosaf[Sgk9:i0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$jb|le^Tbh4Yn;j1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FfgjbbW@%mcmj_Wco6ZH4m2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.Eg`kacXA&ld~nkPV`n1[kYn;k1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FfgjbbW@%mcmj_kwnt45e3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Ddal``YN'oeyohQeulr107=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)nNl~hdRGa_Qplcwct{=h0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$eKk{ci]JjZVugnxn~Qhltg\w`r3<2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.Eg`kacXA&cMiymg_Hl\Twi`zlyxSc:m;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)LlidhhQF/hDfpfnXAgU[~bi}erq\j4YNF_U;?:5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+BbkfnnSD!f_@Q\M45e3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Ddal``YN'`UJ_RG>_RU37a=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)nnl~hdRmnrs{27a=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)nnl~hdRmnrs{17d=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)nfAcieyQJX508Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$Oinake^K,mkNnj`~TIUQhltg\g`263\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Ddal``YN'`dCeog{_DZ\vvrwg}9i7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@`ehllUB#d`|ts]LB969;j1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FfgjbbW@%bb~z}_ND?5584j2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.Eg`kacXA&cey|POG>2:6d<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil KebmgaZO(agy~RAI<3<0f>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*oi{}xTCK2<>2`8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$Oinake^K,mkuszVEM0902>l4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG ioqwvZIA4?48n6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AcdgmoTE"gasup\KC:06:i0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$ec}{r^ME8286;k1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FfgjbbW@%bb~z}_ND?<;5d3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Ddal``YN'`dxxQ@F=:=56d<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil KebmgaZO(agy~RAI<8<0g>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*oi{}xTCK26>067?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hhm`dd]J+lht|{UDJV>R_HLU[12Xg=h0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$ec}{r^ME_5[XAG\T89Q`1^KMRZ62;2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.Eg`kacXA&cey|POGY0YZrfclUBby|PNnu\EKBX99=Tc8:4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG ioqwvZIAS:WTxlej_HlwvZHhVKEHR??7^m202=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)nf}xTcQkemcz[vjh|V`~a}:7;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)LlidhhQF/hlwvZiuWmogmtQ|lnv\npkw9:20Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$e~Qcwy]J7==R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)n{Vy|tRG<7:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MohcikPI.hviu4XA:h0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$fxc_Bcqv|74j2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.Eg`kacXA&`~a}QLaspz66`<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil KebmgaZO(b|g{Sno}rx1\w`j4k2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.Eg`kacXA&fdmiQ\tnpf[l5c3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Ddal``YN'dhj~Rjjcnff[L5e3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Ddal``YN'dhj~Rf`le]J06=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)jjhxTdbbk_H]qwqvh|89o7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@`ehllUB#`lnr^kp[vjbmy9j7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@`ehllUB#`lnr^qoa`v3;2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.Eg`kacXA&gimQ|ldgs[bjrmVynx>j4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG mhqpaaYNNl~hd984U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG mhqpaaYNNl~hdRicud]paq5b3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Ddal``YN'd~xa~|PwskwaZo3>2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.Eg`kacXA&g`}}_vpjp`YnW{y|bz>4`9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'Nnobjj_H-npvktzV}yeykPi^pppuis9VY\<9>4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG muqnwwYpz`~nSdg>349V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'Nnobjj_H-m44>6;01^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FfgjbbW@%e<<6><1<270=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)i8;>9?;5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+BbkfnnSD!a0361517<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil KebmgaZO(ffd}magk_HDfpfnXf<90Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$bb`yamkg[L@b|jbTbRG]e`fz[HS_W=8;9Ra;a:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MohcikPI.lljsgkamUBJhzlh^l\cisbW{y8<5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+BbkfnnSD!`f^Rjt`TrfVYn`hm{3g9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'Nnobjj_H-lbZVnxlX~bR}PI063?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hhm`dd]J+j`XX`zn^x`Ps^K2516<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil KebmgaZO(goU[e}k]uo]p[L75<81^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FfgjbbW@%djR^fpdPvjZuXA8=:845Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+BbkfnnSD!`f^Rjt`TrfV}bxeazt^fqadb~;11^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FfgjbbW@%djRXnlhf0a>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*iaWdhj~Rjjcnff7c=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)hnVgimQkebmga4263\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Ddal``YN'fzxia?PSQP\W`jbk}>87X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@`ehllUB#b~|em3\WUTX[lfnoyQ>449V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'Nnobjj_H-ltvck9VY[^R]jldaw[7Y6<<1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FfgjbbW@%d|~kc1^QSVZUbdliS?Q=3d9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'Nnobjj_H-rqdbkm}UYiljPi558Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$Oinake^K,upgcdl~T^hok_h]dhpcX{l~8n6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AcdgmoTE"|jsdpueqohf=>0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$~h}jrwcwmjhXoenS~k{499V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'Nnobjj_H-qavcu~h~bccQhltg\w`rX[^;8n6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AcdgmoTE"|jsdv\FLVXA:h0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$~h}jt^ffhd4?2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.Eg`kacXA&xnhzPn2g8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$Oinake^K,v`ub|Vd:SD@Y_163?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hhm`dd]J+vckmj~TMA[PC`pq}6?<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil KebmgaZO({l~TND^PI258Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$Oinake^K,wicbxVc8i6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AcdgmoTE"}cedr\mZtt|ye?45Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+BbkfnnSD!|uc]@ewt~;01^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FfgjbbW@%x{uQ}e`fz05=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)tqUyiljv_sqwtjr4n2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.Eg`kacXA&~jghQFnup\JjqXA=:0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GaficmVC$xlej_HlwvZHhVCB895Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+BbkfnnSD!{ajg\MkruWGe|S^kcebv71>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*rfclUBby|PNnu\W`jbk};?:6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AcdgmoTE"znkd]JjqtXFf}T_hbjcu]J02=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)siboTEcz}_Omt[Vckmj~TE<:<;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)LlidhhQF/uchaZoi|{U9biQlybnf0g=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)siboTecz}_3lg[fddlUyy~`t01g?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hhm`dd]J+pubWHF^SNo}rx1f?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hhm`dd]J+pubW[olSCkh_EK74>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*stmVXnkR@jg^FJ[l5c3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Ddal``YN'|ynS_kh_Ogd[V5a3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Ddal``YN'|ynS_kh_Ogd[VYn;01^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FfgjbbW@%~d`h_Hon7c=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#Jjcnff[L)pz`~nSLjkr^K?4;5a3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Ddal``YN'~xbxhQNdep\M979;o1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FfgjbbW@%|~dzj_@fgvZO;:79m7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@`ehllUB#z|ftd]B`atXA595?k5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+BbkfnnSD!xrhvf[DbczVC783=j;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)LlidhhQF/vpjp`YFlmxTER>3d9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'Nnobjj_H-tvlrbWHno~RGP22g8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$Oinake^K,swosmVKohQF_21f?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hhm`dd]J+rtn|lUJhi|PI^60`>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*qua}oTOl|}y^K74>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*qua}oTOl|}y^K\W4213\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Ddal``YN'~xbxhQLaspz[LY`d|oThz;a:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&MohcikPI.uqmqcXKhxyuRGPgmwf[vcsWZ];?45Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+BbkfnnSD!xrhvf[Wc`;o1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/FfgjbbW@%|~dzj_Sgd[LYK]]9o7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@`ehllUB#z|ftd]QabYNWZ>97X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@`ehllUB#z|ftd]QabY`d|oThz2:67<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil miq]Bgqoqm:?0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,aqc`bzVKoh2>>278Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$iykhjr^Cg`w:56:?0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,aqc`bzVKoh2<>3a8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$hm|v2g9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'ij~wPIo1:?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%hd}nbmg\Mkrbz{{x~=8;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)mgki`hQFnugqvpvs;k1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/g{p`hcWMkmR\jae>22;5e3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!eyvfjaYCi}kT^hok<05=7g=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#kwtdlg[AgsiVXnmi2>8?1a?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%iuzjne]GeqgXZlko0<713c9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'osxh`k_EcweZTbim69<3=m;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)mq~nbiQKauc\V`gc4;;5?o5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+c|ldoSIo{a^Pfea:5:79i7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-a}rbfmUOmyoPRdcg8759;k1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/g{p`hcWMkmR\jae>10;5e3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!eyvfjaYCi}kT^hok<37=7g=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#kwtdlg[AgsiVXnmi2=6?1a?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%iuzjne]GeqgXZlko0?913c9V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'osxh`k_EcweZTbim6943=m;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)mq~nbiQKauc\V`gc4;35?o5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+c|ldoSIo{a^Pfea:4879i7X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-a}rbfmUOmyoPRdcg8679;91^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/kniZOi{}x8?6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*lkjW_kgyh2?>268Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$ba`QYamwf8469;=1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/kniZPfd|o7=<0<4:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&`gfS[ocud>26;533\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!ilo\Rdjrm5;82>:4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(nedU]ma{j<06=71=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#gbm^Tbhpc;9<48?6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*lkjW_kgyh2>>218Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$ba`QYamwf8784;2_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.hon[Sgk}l682>=4U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(nedU]ma{j<5<07>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"dcb_Wcoq`:26:90Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,jihYQien0;0<3:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&`gfS[ocud>4:65<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil fml]Ueisb4148?6[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*lkjW_kgyh26>3`8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$ay`~<0:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&go|SNo}rx13?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%c}}jl0]SG67<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil `prgo5ZUWZ;o0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,sgZOik{937X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-vdqXLh~jSZ|ftd>3:6?<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil }av]GeqgX_{ci1??>2;8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$ymzQKauc\Swosm5;:2>74U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(ui~UOmyoPWskwa9756:30Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,qerYCi}kT[g{e=30:6?<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil }av]GeqgX_{ci1?;>2;8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$ymzQKauc\Swosm5;>2>74U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(ui~UOmyoPWskwa9716:30Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,qerYCi}kT[g{e=34:6?<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil }av]GeqgX_{ci1?7>2;8Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$ymzQKauc\Swosm5;22>64U1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(ui~UOmyoPWskwa979;01^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/pbsZBf|hU\~dzj<32=7<=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#|nw^FbpdYPz`~n0??1389V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'xj{RJnt`]Tvlrb4;85?45Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+tfVNjxlQXrhvf8759;01^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/pbsZBf|hU\~dzj<36=7<=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#|nw^FbpdYPz`~n0?;1389V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'xj{RJnt`]Tvlrb4;<5?45Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+tfVNjxlQXrhvf8719;01^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/pbsZBf|hU\~dzj<3:=7<=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#|nw^FbpdYPz`~n0?71399V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'xj{RJnt`]Tvlrb4;4856[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*wgpWMkmRY}iug?758412_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.sct[AgsiV]yeyk330<0<>S7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"ox_EcweZQua}o7?3=7;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)zh}THlzn_Vpjp`:36:20Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,qerYCi}kT[g{e=7=7==R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#|nw^FbpdYPz`~n0;0<8:W3+hodzfhgmwkPI.OjgwiEdhpnSNa}e^K,Ewcf&{k|SIo{a^Uqmqc;?7937X> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-vdqXLh~jSZ|ftd>;:6><]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil }av]GeqgX_{ci171329V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'xnk5Z0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+tbo8UJhi|32?10?P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%~hi>_@fgv959;:1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/pfc4YFlmx783=:;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)zlmTXh}{_Dsvei503\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!rde\P`usWL{~maQA339V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'xnkRY}iug\M7c<]9%fen|`bmcyaZO(E`iycObnzd]@kwcXA&Kyil }ef]mab4a3\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!rde\~`th;:1^<"cfcsmahd|bW@%Fen|`BmcyaZEhzlUB#L|ja/pfwZ@hz~kyh<=<;T2,ileugkfjvhQF/Lk`vjDkisoTOb|j_H-Bv`g)zlyTJb|xasf177=R8&gboaml`xf[L)JajxdNaoue^Alv`YN'Hxnm#y}iug\Gdtuq;i0Y=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"}vnb]qavcsn2_XIAAXSJGTHLHB[j1^_H\PVHQJFIC6=2_xeciPF`qw*cgt|Vymyk<;WA@=>PNM^U_U]K;;VAOO7=PM?1\IL2?>79TAD:66?1\IL2=>99TAD:4294=7ZKN<2<5?RCE494=7ZKM<0<5?RCE4;437ZKM<283:3=PMK682n5XRHVF[HICMVKh7Z\FTD]NKACXJ8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA<1SC_MV6:ZPPIOE?2RXXRIAD69[WQYQKJh0TRM@RD]JJCI13QniSDji;Yfk[Utne_oydaa119[`hYJiceyZh||inl24>^ceVGbbb|Yesqjkk5RQPU1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG RdqfpZIUWMxbzh|NNEAokfmBfhhgi409\[Z^KFDUTS<=P_^W3+lijgmgiR> GOF\0645WfUgaoQ}ef]tvlrbWHDOS>::_n3212=XWVRGB@QP_06\[ZS7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*Oi|lxyy}zPAbi\4Zak}lUxiy:j;^]\\IHJWVU:9RQPU1-nmfthjekqiRG MhaqkGjfrlUHckPI.Cqad(CmjeoiRG Mlw{[djrWFzTEYXWQFEARQP19]\[P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hhm`dd]J+HkrpVZ\^R?=_n]DJAY6:VeTAXVP4053[j73WZ];9k5P_^ZOJHYXW83TSR[?/lk`vjdkisoTE"CfcsmAhd|bWJeyiRG Asgb*AcdgmoTE"IAD^31[jYnfz~ySBHT4\]fupgkW<>Tc<;k;^]\\IHJWVU:SRQZ0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+BbkfnnSD!_WS]26ZiXagy~RAI[33^[DHCW;8Tc<::;^]\\IHJWVU9YXWQFEARQP27]\[P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO(GyoymcjPSdnfgqYN'\ybbjQIPFC-KuUbdliSOg{sZ6^*JvbzhdoS^kcebv\FlrXA&GfyuQ`p3]J56YT_93?7RQPXMLN[ZY5?VUTY=!bibplfig}mVC$Adm}oCnb~`YDg{oTE"O}e`,GeqgXNee|SD!@pdpbjaYTmeohxRG UrkmcZ@WOH$D|^kcebv\FlrtS?W%C}k}aof\W`jbk}UIeyQF/Lov|Ziw:VC:?R]X0868[ZY_DGGTSR<7_^]V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*Iwm{kehR]jldaw[L)R{`dlSK^HA/MsW`jbk}UIey}T8\,Lt`tffmUXiaklt^@jpZO(EdsSb~=_H30[VQ71:1TSRVCNL]\[7?XWV_;#`glrn`oecXA&GboaMl`xf[FiumVC$Mkn.EcweZ@kg~UB#B~jr`lg[Vckmj~TE"[|ioe\BUAF&FzXiaklt^@jpv]7U'E{ioad^Qfh`esWKcSD!Bmtz\ku4XA8UX[=;:;^]\\IHJWVU9SRQZ0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+BbkfnnSD!Bmtz\qvcXZlmTBhiPDH]j544f3VUTTA@B_^]04ZYX]9%o``lPcovov*jjjV}n0<031?31e>YXWQFEARQP30]\[P6(legiSn`{ls-oigYpm5950>0>619\[Z^KFDUTS>YXWQFEARQP32]\[P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO([acmxRB`gha\IjbrdlUB#~kwt=2=547302UTSUBAM^]\71YXW\:$adm}ocnb~`YN'Dch~bLca{g\GjtbW@%J~ho!Ddal``YN'YIT[g{e06e?ZYXPEDFSRQ<5^]\Q5)jajxdnaoue^K,IleugKfjvhQLosg\M*Gumh$Oinake^K,``jfqVygcyQeulr201=XWVRGB@QP_24\[ZS7'`efci{ce^2,MJKhl|fnSNa}e^K2+lhszVi~aQF1.ajtw:6:7;?j6QP_YNMIZYX;>UTSX> mhaqkgjfrlUB#@glrn@oecXKfxnSD!Nrdc-@`ehllUB#`z|mrp\swosmVcb=8?4_^][HKKXWV93SRQZ0.ojgwiedhpnSD!BibplFig}mVId~hQF/@pfe+BbkfnnSD!xrhvf[Wc`Wnf~iR}jt728[ZY_DGGTSR=6_^]V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'NjxlQIlnu\M*KTZVXnkRG Mlw{[wusW_kgyh}PIH126a=XWVRGB@QP_2]\[P6(afgdhxbj_1-Nip~Xoye?Sz|ftd3226=XWVRGB@QP_52\[ZS7'dch~blca{g\M*Knk{eI`ltj_BmqaZO(I{oj"Ikloeg\M*VumOooeQMugdfvZO(zlynxRLzfggq[Dbcz8>?7RQPXMLN[ZY39VUTY=!folmgqicX8&CDAbjzld]@kwcXA8%L\DQF2.ESMZOiW9Uyy~`t4`8[ZY_DGGTSR:=_^]V4*knk{ei`ltj_H-NmfthJekqiRM`rd]J+Dtbi'Nnobjj_H-Vwlh`WOZLM#\js^Dlvrgul;UGYY==d:]\[]JIEVUT8RQPU1-jkhic}eoT<"Cbuy]dtj4X{ci-Hl21c=XWVRGB@QP_8]\[P6(e`iycobnzd]J+HodzfHgmwkPCnpf[L)Fzlk%Hlzn_GnlsZO([acmxRB`gha\IjbrdlUB#~kwt=2=645<=>?012/gZnnoakoh3?x9234C@AN%iTnl}jaefq95~?89:;<=>#c^obwn;7pJ:;<=>?0-a\mjYoanbjhi|20yDEBC@ANO&hSdaPb`qfeabu59rH<=>?012/gZohWdkxg0>wC1234567$jUgaoQnwhfwl855$jUgaoQkwhfwl855$jU~hQzasv\v};7$jU~hQzasv\p};7$jU~mzPb`wgvdrb50<;Rgatdpqqur:8%iTy~kPfhv095*dWoc?R``_bnlgnu:>;;= nQiiu1\mkrbz{{x0>#c^wpaZ`n|=7; nQiiu6\jjYddfi`08=17.`[cos4)eX}zoT|dz>=1.`[uos9Vybvh3<2-a\tlr6W{ojhllce<3/gZvn|8U{~h}lamgq95*dWyc=Rgatdpqqur:8%iTy~kPphv195*dWyc>R}fzd?06)eXx`~9Sknd``oa87+kVzbx?Qrdq`eicu59&hS}g{2^kmp`tu}y~6!mPphv7[wcflhhgi0?#c^rjp1Ywzlyhmak}=1.`[uos4)eX}zoTk}a>=0.`[bvh9Vybvh3>6-a\cui6W`dbx0>w01234567$jU~hQhpn0>5)eXoye9S~gue<35(fY`xf8Tecg{=1z3456789:'oR{|e^esk6;6$jUl|b=Pshxf94*dWnzd?Rgaiu?3|56789:;#c^wpaZawa87: nQhph3\wl|b51&hSx}j_frj687+kVm{e?Q|i{g>5)eX}zoTk}g<=0.`[bvn;Vybvh3=,b]vw`Y`x`>65)eXag~hSakyem]f`bc:8q:;<="l_hlwgZvh{`~bzh3?xGDEB)eXag~hSeo|_gcpp87+kVcexnQndep\slbs`4;? nQhph3\mkrbz{{x0>#c^esm7Ynf}oy~x~{=2.`[bvn;Vcexh|}uqv>4)eXoyc?Sd`{espvtq;7u11gaoQmr`o27>jjjVhym`Qff^ampitX9890``lPbscn[l`Xkg~g~R<8;moa[hs12ffnRx>0^3:?ikeW;;S?8;0:oa[hodzfhgmwkPmbq/IleugKfjvh"tc^kmwqgikl7fnRcfcsmahd|bWdix `lPvdppmjh:8q;J nQialko}8uwi{~jb;"l_`pfeZiw|`gbvhj21-a\mkrbzjeebhm{=0.`[vrumhgTeczjrbmmj`es59&hSiQlc?3(fYcWhrb1="l_e]oig;6$jUbS}bm=1.`[lYfp`7; nQf_moa94*dW|ynS`}}_hlppw;7$jU~hQclr\mkusz4:'oR{|e^`bvwck59&hSx}j_eku95*dW|ynSeyPmtn>4)eX}zoTj}{20-a\qkgkandnhRkwcdrwmjht59&hSdbc_nr`kacXmqin|yg`n<2/gZkXiqcTeRlzs^g{g`vsafd64)eXl`|Tvh|`_dz`aurngg7; nQipt]f|fcw|`ee1="l_gqo[`~dmy~bcc3?,b]vw`Ynf}oy~x~{=3.`[pubWlrSo|d=1.`[pubWlrSccPbsi>4)eX}zoTa`{20-a\ihsXl}fiS~gue<6/gZkj}Vc`oQ|i{g>6)eXedTxalPabafwv;4$jUfaxQuoogp940+kVxiRl}aoak[qguol~Tolmge<2/gZduigicSyo}gdv\gdeomVybvh3?,b]srw;7$jUd|nake^2{4Zokdlmj`0>#c^fffpaXmgki`hj20-a\jpkem{UdjR~l_cph95*dWgfnh|Pog]q`Zgcl{Ui~f3?,b]mqhdbzVemSz|Paefq[gtl59&hSk}c_mkmov;7$jU~hQjxugm``bXnzfTec}{r<2/gZodijbnSoo|e`fgv8689:;<=>?,b]jgdeomVbbkeokds?3|6@ANOLMJ!mPurg\mfgd`l7; nQlabjf[gsmVybvh37180/gZodijbnSagae^nfj82+kVchmnfj_`nte|uX}zoo1="l_l]b|lYnkVnjxlQxievk964+kVnhmnfj_ccpadbcz4:s<=>?0123(fYckhiciRffgicg`w;7p:LMJKHIF-a\qvcXljkhdh3?,b]ggdeomVhrxhQ|i{g><4?5$jUoolmge^njj`Ykmg7? nQkc`akaZgkhsxSx}jd<2/gZbdijbnSx}j_vpjp`dfkb7; nQb_`zj[aeXlh~jSzgkti?06)eXn{oz1<;?012345*dWok~`yQ{omgqekr:8%iThnolhd]ekwebW}klSa{{r`o>4)eXajkhdhQiosaf[qg`We~lc20-a\`fgd`lUomyoPwhfwl86+kVchmnfj_ecweZqnl}b6?0|g8ileugkfjvhQbcr7`f>knk{ei`ltj_lap(hodzfhgmwkPmbq\r4Y3$rITHHLZG^GMEGJBL4:'ORHNMHNZ9vvfz}ke:!MPFHV2[LHSM[X^\Y3?,B]EMQ7XFfUH@BMDS<4153*DWOC_>RGATDPQQUR:8%ITJDZ=_Om\GIIDCZ7=><8#C^DJP6YNF]OY^X^[=1.@[COS;VDdSNB@CJQ>2771$JUMEY:PIOVFVWSW\4:'ORHFT5]MkZEKGJAX1;<>6-A\BWCV58?;<=>?01.@[BVN9VCEXH\]UQV>4)EXOYC:S^GUE<:/GZAWA;UBBYK]RTRW96*DWNZB>R]FZD?2(FY@X@9TECZJRSWSP86+KVM[E>Q\I[G>6)EXOYC?SD@[ESPVTQ;7$JUL\D:PSHXF964+KVM[C4}6789:;<="L_FRL5ZUNRL7::!MPGQM1[LHN\4:s<=>?0123(FY@XF8T_DTJ=04/GZAWG:UBBDZ20y23456789&HSJ^@3^QJ^@;6$JUL\B:PIOKW95~789:;<=>#C^ESK1YTASO6:!MPIOV@[LHSZVYBVH3>,B]JJQEXDL\N@RKKGD?3|5678%ITECZL_QMPMQOQM4:sJKHI,B]JJQEX]ZOTIUZPIOVQ95*DWC^JKRMGAHL>6)EXELGXEWK2171;0)EXE@IYCOBNZD]JJVRFFJO6adm}ocnb~`Yjkz&HS]O[H,B]SMQ5X[@PN1><#C^RJP1YNF]OY^X^[=1.@[UOS4)EXX@^?S_KND@@OA87+KVZBX9Q\I[G>77*DW]XJOH3?,B]VEWRXJH_O^LZJ=8434)EX]HX_SIO[A^@JPV;?$JU^M_ZPESPLVZOI\LXYY]Z20-A\QDTSWFNOS]O]IU[>4)EX]HX_S]\@G^@BQATF\L7; NQZASV\V]YNF]OY^X^[=1.@[PGU\V^SSD@[ESPVTQ;7$JU^M_ZPURG\TDTN\P7; NQZSD]EMQ7:8%ITY^KPFHV195*DW\YNSKG[3<2/GZSTMVLBX93?,B]VW@Y@X@;6=!MPURG\CUO558&HSX]J_FRJ787+KV_XIRI_I5?3(FYR[LUL\B?21-A\QVCXOYE91<"L_TQF[BVH;4;'OR[\E^ESK1;6$JU^_HQFO^@VW86+KV_XIR^FT0?3(FYR[LU[EY<20-A\QVCXX@^81="L_TQF[UOS<4:'OR[\E^WBVQYUP4:'OR[\E^WBVQYSP4:'ORVKEWK@A8~d>zfs5!MPXQC@ODAB5}{l=9:#C^ZPT@CCO[KOI0#=}378ileugkfjvhQbcr.nmfthjekqiRcls^t2[1*|u;l0adm}ocnb~`YjkzU}=R:# Ykomk~'KFXN,Jkaescwkw&6<'=37`{c_tljp3=j}q>T455agb`vmib53gen7}}jldaw[hgtcV;n7}}jldaw[hgtcV8vLM~<41f8DE~cj10M694>{R`0>a1c2;3;6<=;3ef4>6278kqeh:l51:lg3f<13-n<57j83:Pf4351g`2<4<92;7^<<7;faa?6=9:>8hi95352;1>Ue93nii7>51260`a1=;=:396jkb983>4<6sZh86i9k:3;3>453;mn<6>:?1g9uP7d6290:6<4901yPf6351g`2<4<9;m7)j94;00=>Pc?h09wx<;9;38q72d291v(?7>:478fad?290847;83;434~Nc>81/>om5dc:8^<3=?r<96>?53881b?572:81994r$e5;>ade3-3i6iln;%0ba?7lj5d6g8m4g3290/>4;51`18j7?32910e4;51`18j7?32;10e4;51`18j7?32=10e<7j:18'6<3=9h90b?7;:498m4?c290/>4;51`18j7?32?10e<7l:18'6<3=9h90b?7;:698m4g0290/>4;51`48j7?32910e290/>4;51`c8j7?32810eo:50;9j6d?=831d=o650;&1=0<6j>1e>4:50:9l5g0=83.9584>b69m6<2=921d=o;50;&1=0<6j>1e>4:52:9l5g2=83.9584>b69m6<2=;21d=o=50;&1=0<6j>1e>4:54:9l5g4=83.9584>b69m6<2==21d=n?50;&1=0<6j>1e>4:56:9l5f6=83.9584>b69m6<2=?21d=oh50;&1=0<6j>1e>4:58:9l5gc=83.9584>b69m6<2=121d=oj50;&1=0<6j>1e>4:5a:9l5ge=83.9584>b69m6<2=j21d=ol50;&1=0<6j>1e>4:5c:9l5gg=83.9584>b69m6<2=l21d=o750;&1=0<6j>1e>4:5e:9l5g7=83.9584>b69m6<2=n21d=n750;&1=0<6k11e>4:50:9l5f1=83.9584>c99m6<2=921d=n850;&1=0<6k11e>4:52:9l5f3=83.9584>c99m6<2=;21d=n:50;&1=0<6k11e>4:54:9l5f5=83.9584>c99m6<2==21d=i<50;&1=0<6k11e>4:56:9l5a7=83.9584>c99m6<2=?21d=i>50;&1=0<6k11e>4:58:9l5f`=83.9584>c99m6<2=121d=nk50;&1=0<6k11e>4:5a:9l5fb=83.9584>c99m6<2=j21d=nm50;&1=0<6k11e>4:5c:9l5fd=83.9584>c99m6<2=l21d=no50;&1=0<6k11e>4:5e:9l5f4=83.9584>c99m6<2=n21d=i:50;&1=0<6l:1e>4:50:9l5ad=83.9584>d`9m6<2=821d=i750;&1=0<6lh1e>4:51:9l5a>=83.9584>d`9m6<2=:21d=i950;&1=0<6lh1e>4:53:9l5a0=83.9584>d`9m6<2=<21d=i;50;&1=0<6lh1e>4:55:9l5d3=831d=l650;9l5de=831d>><50;9a`21=83;1<7>t$3``>a043An<96Fk609l6=`=831vni99:182>5<7s-8io7?6b:Jg30=Ol?;0c<7n:188yg5>l3:187>50z&1ff<6?h1Ch:;4He42?l?02900eo;50;9j64o50;9~f6g6290?6=4?{%0ag?70i2Bo;85Gd738m<1=831bn84?::k1=g<722e95l4?::a7=b=83>1<7>t$3``>41d3An<96Fk609j=2<722ci97>5;h0bg?6=3f82m7>5;|`07Ej91:k:3?6=3`h>6=44o3;b>5<53;294~"5jj0:;:5Gd678La063`3<6=44ic794?=h:0k1<75rb2:`>5<4290;w)Nc?<1Ch;?4i8594?=nj<0;66a=9`83>>{e:kn1<7=50;2x 7dd28=<7Ej85:Jg24=n1>0;66gm5;29?j4>i3:17pl:9183>6<729q/>om51658La123An==6g67;29?ld22900c?7n:188yg3?13:1?7>50z&1ff<6?>1Ch:;4He42?l?02900eo;50;9l65<7s-8io7?87:Jg30=Ol?;0e4950;9jf0<722e95l4?::a1=d=8391<7>t$3``>4103An<96Fk609j=2<722ci97>5;n0:e?6=3th>4n4?:283>5}#:ki1=:94He56?Mb192c2;7>5;h`6>5<?0Di8>;h;4>5<>i51h0;66sm59d94?5=83:p(?ll:054?Mb0=2Bo:<5f9683>>oe=3:17b<6a;29?xd2180;6>4?:1y'6ge=9>=0Di9:;If55>o>?3:17dl::188k7?f2900qo;lc;297?6=8r.9nn4>769K`23<@m<:7d78:188mg3=831d>4o50;9~f0ee29086=4?{%0ag?70?2Bo;85Gd738m<1=831bn84?::m1=d<722wi9n750;194?6|,;hh6<98;If41>Nc>81b5:4?::ka1?6=3f82m7>5;|`6g=<72:0;6=u+2ca9521<@m=>7Ej91:k:3?6=3`h>6=44o3;b>5<53;294~"5jj0:;:5Gd678La063`3<6=44ic794?=h:0k1<75rb4a5>5<4290;w)Nc?<1Ch;?4i8594?=nj<0;66a=9`83>>{e=j?1<7=50;2x 7dd28=<7Ej85:Jg24=n1>0;66gm5;29?j4>i3:17pl:c583>6<729q/>om51658La123An==6g67;29?ld22900c?7n:188yg3d;3:1?7>50z&1ff<6?>1Ch:;4He42?l?02900eo;50;9l65<7s-8io7?87:Jg30=Ol?;0e4950;9jf0<722e95l4?::a1f7=8391<7>t$3``>4103An<96Fk609j=2<722ci97>5;n0:e?6=3th>o=4?:283>5}#:ki1=:94He56?Mb192c2;7>5;h`6>5<?0Di8>;h;4>5<>i51h0;66sm5ca94?5=83:p(?ll:054?Mb0=2Bo:<5f9683>>oe=3:17b<6a;29?xd2jk0;6>4?:1y'6ge=9>=0Di9:;If55>o>?3:17dl::188k7?f2900qo;ma;297?6=8r.9nn4>769K`23<@m<:7d78:188mg3=831d>4o50;9~f0d>29086=4?{%0ag?70?2Bo;85Gd738m<1=831bn84?::m1=d<722wi9o650;194?6|,;hh6<98;If41>Nc>81b5:4?::ka1?6=3f82m7>5;|`6f2<72:0;6=u+2ca9521<@m=>7Ej91:k:3?6=3`h>6=44o3;b>5<53;294~"5jj0:;:5Gd678La063`3<6=44ic794?=h:0k1<75rb4`6>5<4290;w)Nc?<1Ch;?4i8594?=nj<0;66a=9`83>>{e=m81<7=50;2x 7dd28=<7Ej85:Jg24=n1>0;66gm5;29?j4>i3:17pl:d083>6<729q/>om51658La123An==6g67;29?ld22900c?7n:188yg3c83:1?7>50z&1ff<6?>1Ch:;4He42?l?02900eo;50;9l65<7s-8io7?87:Jg30=Ol?;0e4950;9jf0<722e95l4?::a1fc=8391<7>t$3``>4103An<96Fk609j=2<722ci97>5;n0:e?6=3th>oi4?:283>5}#:ki1=:94He56?Mb192c2;7>5;h`6>5<?0Di8>;h;4>5<>i51h0;66sm5c694?5=83:p(?ll:054?Mb0=2Bo:<5f9683>>oe=3:17b<6a;29?xd2j:0;6>4?:1y'6ge=9>=0Di9:;If55>o>?3:17dl::188k7?f2900qo:=e;291?6=8r.9nn4>7c9K`23<@m<:7d78:188mt$3``>41e3An<96Fk609j=2<722c2m7>5;h`6>5<5<8<7>55;294~"5jj0:;o5Gd678La063`3<6=44i8c94?=nj<0;66g=9c83>>i51h0;66sm42394?3=83:p(?ll:05a?Mb0=2Bo:<5f9683>>o>i3:17dl::188m7?e2900c?7n:188yg24:3:197>50z&1ff<6?k1Ch:;4He42?l?02900e4o50;9jf0<722c95o4?::m1=d<722wi8>=50;794?6|,;hh6<9m;If41>Nc>81b5:4?::k:e?6=3`h>6=44i3;a>5<?0Di8>;h;4>5<>o51k0;66a=9`83>>{e;o=1<7;50;2x 7dd28=i7Ej85:Jg24=n1>0;66g6a;29?ld22900e?7m:188k7?f2900qo=ie;291?6=8r.9nn4>7c9K`23<@m<:7d78:188mt$3``>41e3An<96Fk609j=2<722c2m7>5;h`6>5<5<;<7>55;294~"5jj0:;o5Gd678La063`3<6=44i8c94?=nj<0;66g=9c83>>i51h0;66sm41394?3=83:p(?ll:05a?Mb0=2Bo:<5f9683>>o>i3:17dl::188m7?e2900c?7n:188yg27:3:197>50z&1ff<6?k1Ch:;4He42?l?02900e4o50;9jf0<722c95o4?::m1=d<722wi8==50;794?6|,;hh6<9m;If41>Nc>81b5:4?::k:e?6=3`h>6=44i3;a>5<?0Di8>;h;4>5<>o51k0;66a=9`83>>{e<9?1<7;50;2x 7dd28=i7Ej85:Jg24=n1>0;66g6a;29?ld22900e?7m:188k7?f2900qo=i8;291?6=8r.9nn4>7c9K`23<@m<:7d78:188mt$3``>41e3An<96Fk609j=2<722c2m7>5;h`6>5<5<55;294~"5jj0:;o5Gd678La063`3<6=44i8c94?=nj<0;66g=9c83>>i51h0;66sm3g`94?3=83:p(?ll:05a?Mb0=2Bo:<5f9683>>o>i3:17dl::188m7?e2900c?7n:188yg5ak3:197>50z&1ff<6?k1Ch:;4He42?l?02900e4o50;9jf0<722c95o4?::m1=d<722wi?kj50;794?6|,;hh6<9m;If41>Nc>81b5:4?::k:e?6=3`h>6=44i3;a>5<?0Di8>;h;4>5<>o51k0;66a=9`83>>{e<8=1<7;50;2x 7dd28=i7Ej85:Jg24=n1>0;66g6a;29?ld22900e?7m:188k7?f2900qo:>e;291?6=8r.9nn4>7c9K`23<@m<:7d78:188mt$3``>41e3An<96Fk609j=2<722c2m7>5;h`6>5<5<9<7>55;294~"5jj0:;o5Gd678La063`3<6=44i8c94?=nj<0;66g=9c83>>i51h0;66sm43394?3=83:p(?ll:05a?Mb0=2Bo:<5f9683>>o>i3:17dl::188m7?e2900c?7n:188yg25:3:197>50z&1ff<6?k1Ch:;4He42?l?02900e4o50;9jf0<722c95o4?::m1=d<722wi8?=50;794?6|,;hh6<9m;If41>Nc>81b5:4?::k:e?6=3`h>6=44i3;a>5<?0Di8>;h;4>5<>o51k0;66a=9`83>>{e<;?1<7;50;2x 7dd28=i7Ej85:Jg24=n1>0;66g6a;29?ld22900e?7m:188k7?f2900qo:>8;291?6=8r.9nn4>7c9K`23<@m<:7d78:188mt$3``>41e3An<96Fk609j=2<722c2m7>5;h`6>5<5<:m7>55;294~"5jj0:;o5Gd678La063`3<6=44i8c94?=nj<0;66g=9c83>>i51h0;66sm40`94?3=83:p(?ll:05a?Mb0=2Bo:<5f9683>>o>i3:17dl::188m7?e2900c?7n:188yg26k3:197>50z&1ff<6?k1Ch:;4He42?l?02900e4o50;9jf0<722c95o4?::m1=d<722wi8Nc>81b5:4?::k:e?6=3`h>6=44i3;a>5<?0Di8>;h;4>5<5<3290;w)Nc?<1Ch;?4i8594?=nj<0;66g=9c83>>i51h0;66sm3ed94?5=83:p(?ll:054?Mb0=2Bo:<5f9683>>oe=3:17b<6a;29?xd4l?0;694?:1y'6ge=9>k0Di9:;If55>o>?3:17dl::188m7?e2900c?7n:188yg5c=3:187>50z&1ff<6?h1Ch:;4He42?l?02900eo;50;9j64o50;9~f6b3290?6=4?{%0ag?70i2Bo;85Gd738m<1=831bn84?::k1=g<722e95l4?::a7a5=83>1<7>t$3``>41f3An<96Fk609j=2<722ci97>5;h0:f?6=3f82m7>5;|`0`7<72=0;6=u+2ca952g<@m=>7Ej91:k:3?6=3`h>6=44i3;a>5<?0Di8>;h;4>5<5<3290;w)Nc?<1Ch;?4i8594?=nj<0;66g=9c83>>i51h0;66sm3bd94?2=83:p(?ll:05b?Mb0=2Bo:<5f9683>>oe=3:17d<6b;29?j4>i3:17pl;5083>1<729q/>om52558La123An==6g>e183>>o6m80;66g=ab83>>i50:0;66sm49294?2=83:p(?ll:364?Mb0=2Bo:<5f1d294?=n9l;1<75f2`a94?=h:191<75rb566>5<3290;w)Nc?<1Ch;?4i0g3>5<5<?0Di8>;h3f4?6=3`;n=7>5;h0bg?6=3f83?7>5;|`77Ej91:k2a5<722c:i<4?::k1ef<722e94>4?::a010=83>1<7>t$3``>72?3An<96Fk609j5`6=831b=h?50;9j6de=831d>5=50;9~f107290?6=4?{%0ag?43?2Bo;85Gd738m4c72900e:188m7gd2900c?6<:188yg2?n3:187>50z&1ff<5<>1Ch:;4He42?l7b83:17d?j1;29?l4fk3:17b<73;29?xd3<>0;694?:1y'6ge=:=20Di9:;If55>o6m90;66g>e083>>o5ij0;66a=8283>>{e<7Ej85:Jg24=n9l:1<75f1d394?=n:hi1<75`29194?=zj=3>6=4;:183!4ek38?;6Fk749K`375<5<?57>54;294~"5jj09855Gd678La063`;n<7>5;h3f5?6=3`8jo7>5;n0;7?6=3th?:44?:583>5}#:ki1>994He56?Mb192c:i=4?::k2a4<722c9mn4?::m1<6<722wi84650;694?6|,;hh6?:8;If41>Nc>81b=h>50;9j5`7=831b>lm50;9l6=5=831vn9:n:187>5<7s-8io7<;8:Jg30=Ol?;0e42900qo:9c;290?6=8r.9nn4=469K`23<@m<:7d?j0;29?l7b93:17d1<729q/>om52558La123An==6g>e183>>o6m80;66g=ab83>>i50:0;66sm45`94?2=83:p(?ll:36;?Mb0=2Bo:<5f1d294?=n9l;1<75f2`a94?=h:191<75rb54e>5<3290;w)Nc?<1Ch;?4i0g3>5<5<?0Di8>;h3f4?6=3`;n=7>5;h0bg?6=3f83?7>5;|`70f<72=0;6=u+2ca961><@m=>7Ej91:k2a5<722c:i<4?::k1ef<722e94>4?::a024=83>1<7>t$3``>7203An<96Fk609j5`6=831b=h?50;9j6de=831d>5=50;9~f1g6290?6=4?{%0ag?43?2Bo;85Gd738m4c72900e:188m7gd2900c?6<:188yg23l3:187>50z&1ff<5<11Ch:;4He42?l7b83:17d?j1;29?l4fk3:17b<73;29?xd3?<0;694?:1y'6ge=:==0Di9:;If55>o6m90;66g>e083>>o5ij0;66a=8283>>{e1<7:50;2x 7dd2;><7Ej85:Jg24=n9l:1<75f1d394?=n:hi1<75`29194?=zj=>n6=4;:183!4ek38?46Fk749K`375<5<<47>54;294~"5jj098:5Gd678La063`;n<7>5;h3f5?6=3`8jo7>5;n0;7?6=3th?m:4?:583>5}#:ki1>994He56?Mb192c:i=4?::k2a4<722c9mn4?::m1<6<722wi89h50;694?6|,;hh6?:7;If41>Nc>81b=h>50;9j5`7=831b>lm50;9l6=5=831vn99m:187>5<7s-8io7<;7:Jg30=Ol?;0e42900qo:na;290?6=8r.9nn4=469K`23<@m<:7d?j0;29?l7b93:17d1<729q/>om525:8La123An==6g>e183>>o6m80;66g=ab83>>i50:0;66sm47394?2=83:p(?ll:364?Mb0=2Bo:<5f1d294?=n9l;1<75f2`a94?=h:191<75rb5;3>5<3290;w)Nc?<1Ch;?4i0g3>5<5<?0Di8>;h3f4?6=3`;n=7>5;h0bg?6=3f83?7>5;|`65`<72=0;6=u+2ca952g<@m=>7Ej91:&2a`<53`3<6=44ic794?=n:0h1<75`28c94?=zj<8:6=4;:183!4ek3;>oe=3:17d<6b;29?j4>i3:17pl:6583>1<729q/>om516c8La123An==6*=878gfc=#9lo1>6g67;29?ld22900e?7m:188k7?f2900qo;93;290?6=8r.9nn4>7`9K`23<@m<:7)<76;fab>"6ml097d78:188mg3=831b>4l50;9l6:187>5<7s-8io7?8a:Jg30=Ol?;0(?69:e`e?!7bm380e4950;9jf0<722c95o4?::m1=d<722wi9;>50;694?6|,;hh6<9n;If41>Nc>81/>585dcd8 4cb2;1b5:4?::ka1?6=3`82n7>5;n0:e?6=3th>9k4?:583>5}#:ki1=:o4He56?Mb192.94;4kbg9'5`c=:2c2;7>5;h`6>5<5<i7>54;294~"5jj0:;l5Gd678La063-83:7jmf:&2a`<53`3<6=44ic794?=n:0h1<75`28c94?=zj>o51k0;66a=9`83>>{e=7=n1>0;66gm5;29?l4>j3:17b<6a;29?xd2=k0;694?:1y'6ge=9>k0Di9:;If55>"50?0onk5+1dg96>o>?3:17dl::188m7?e2900c?7n:188yg32i3:187>50z&1ff<6?h1Ch:;4He42?!4?>3nij6*>ed81?l?02900eo;50;9j64o50;9~f03>290?6=4?{%0ag?70i2Bo;85Gd738 7>12mhm7)?je;08m<1=831bn84?::k1=g<722e95l4?::a10>=83>1<7>t$3``>41f3An<96Fk609'6=0=lkl0(5;h0:f?6=3f82m7>5;|`613<72=0;6=u+2ca952g<@m=>7Ej91:&1<36=44i3;a>5<?0Di8>;%0;2?ben2.:ih4=;h;4>5<5<3290;w)Nc?<1Ch;?4$3:5>ada3-;ni7<4i8594?=nj<0;66g=9c83>>i51h0;66sm54194?2=83:p(?ll:05b?Mb0=2Bo:<5+2949`g`<,8on6?5f9683>>oe=3:17d<6b;29?j4>i3:17pl:5383>1<729q/>om516c8La123An==6*=878gfc=#9lo1>6g67;29?ld22900e?7m:188k7?f2900qo;:1;290?6=8r.9nn4>7`9K`23<@m<:7)<76;fab>"6ml097d78:188mg3=831b>4l50;9l65<7s-8io7?8a:Jg30=Ol?;0(?69:e`e?!7bm380e4950;9jf0<722c95o4?::m1=d<722wi99h50;694?6|,;hh6<9n;If41>Nc>81/>585dcd8 4cb2;1b5:4?::ka1?6=3`82n7>5;n0:e?6=3th>8h4?:583>5}#:ki1=:o4He56?Mb192.94;4kbg9'5`c=:2c2;7>5;h`6>5<5<54;294~"5jj0:;l5Gd678La063-83:7jmf:&2a`<53`3<6=44ic794?=n:0h1<75`28c94?=zj<>o51k0;66a=9`83>>{e=?k1<7:50;2x 7dd28=j7Ej85:Jg24=#:1<1hoh4$0gf>7=n1>0;66gm5;29?l4>j3:17b<6a;29?xd2>00;694?:1y'6ge=9>k0Di9:;If55>"50?0onk5+1dg96>o>?3:17dl::188m7?e2900c?7n:188yg3103:187>50z&1ff<6?h1Ch:;4He42?!4?>3nij6*>ed81?l?02900eo;50;9j64o50;9~f000290?6=4?{%0ag?70i2Bo;85Gd738 7>12mhm7)?je;08m<1=831bn84?::k1=g<722e95l4?::a130=83>1<7>t$3``>41f3An<96Fk609'6=0=lkl0(5;h0:f?6=3f82m7>5;|`620<72=0;6=u+2ca952g<@m=>7Ej91:&1<36=44i3;a>5<?0Di8>;%0;2?ben2.:ih4=;h;4>5<5<3290;w)Nc?<1Ch;?4$3:5>ada3-;ni7<4i8594?=nj<0;66g=9c83>>i51h0;66sm55a94?2=83:p(?ll:05b?Mb0=2Bo:<5+2949`g`<,8on6?5f9683>>oe=3:17d<6b;29?j4>i3:17pl:3483>6<729q/>om51658La123An==6g67;29?ld22900c?7n:188yg34<3:1?7>50z&1ff<6?>1Ch:;4He42?l?02900eo;50;9l65<7s-8io7?87:Jg30=Ol?;0e4950;9jf0<722e95l4?::a16e=8391<7>t$3``>4103An<96Fk609j=2<722ci97>5;n0:e?6=3th>?o4?:283>5}#:ki1=:94He56?Mb192c2;7>5;h`6>5<?0Di8>;h;4>5<>i51h0;66sm52:94?5=83:p(?ll:054?Mb0=2Bo:<5f9683>>oe=3:17b<6a;29?xd2;>0;6>4?:1y'6ge=9>=0Di9:;If55>o>?3:17dl::188k7?f2900qo;<6;297?6=8r.9nn4>769K`23<@m<:7d78:188mg3=831d>4o50;9~f05429086=4?{%0ag?70?2Bo;85Gd738m<1=831bn84?::m1=d<722wi9><50;194?6|,;hh6<98;If41>Nc>81b5:4?::ka1?6=3f82m7>5;|`65f<72=0;6=u+2ca952g<@m=>7Ej91:k:3?6=3`h>6=44i3;a>5<?0Di8>;h;4>5<5<3290;w)Nc?<1Ch;?4$0gf>7=n1>0;66g6a;29?ld22900c?7n:188yg2em3:187>50z&1ff<6?11Ch:;4He42?!7bm380e4950;9j=d<722ci97>5;n0:e?6=3th?o:4?:583>5}#:ki1=:64He56?Mb192.:ih4=;h;4>5<>i51h0;66sm4b494?2=83:p(?ll:05;?Mb0=2Bo:<5+1dg96>o>?3:17d7n:188mg3=831d>4o50;9~f1e2290?6=4?{%0ag?7002Bo;85Gd738 4cb2;1b5:4?::k:e?6=3`h>6=44o3;b>5<h87>54;294~"5jj0:;55Gd678La063-;ni7<4i8594?=n1h0;66gm5;29?j4>i3:17pl;c283>1<729q/>om516:8La123An==6*>ed81?l?02900e4o50;9jf0<722e95l4?::a0f4=83>1<7>t$3``>41?3An<96Fk609'5`c=:2c2;7>5;h;b>5<>o>i3:17dl::188k7?f2900qo:l0;290?6=8r.9nn4>799K`23<@m<:7)?je;07?l?02900e4o50;9jf0<722e95l4?::a0gb=83>1<7>t$3``>41?3An<96Fk609'5`c=:2c2;7>5;h;b>5<>o>i3:17dl::188k7?f2900qo:jf;290?6=8r.9nn4>799K`23<@m<:7)?je;08m<1=831b5l4?::ka1?6=3f82m7>5;|`7a`<72=0;6=u+2ca952><@m=>7Ej91:&2a`<53`3<6=44i8c94?=nj<0;66a=9`83>>{e6g67;29?l?f2900eo;50;9l65<7s-8io7?88:Jg30=Ol?;0(5;h`6>5<?0Di8>;%3fa?4>oe=3:17b<6a;29?xd3n=0;694?:1y'6ge=9>20Di9:;If55>"6ml097d78:188mNc>81/=hk52:k:3?6=3`3j6=44ic794?=h:0k1<75rb5d1>5<3290;w)Nc?<1Ch;?4$0gf>7=n1>0;66g6a;29?ld22900c?7n:188yg2a93:187>50z&1ff<6?11Ch:;4He42?!7bm380e4950;9j=d<722ci97>5;n0:e?6=3th?j=4?:583>5}#:ki1=:64He56?Mb192.:ih4=4:k:3?6=3`3j6=44ic794?=h:0k1<75rb5gg>5<3290;w)Nc?<1Ch;?4$0gf>7=n1>0;66g6a;29?ld22900c?7n:188yg2bk3:187>50z&1ff<6?11Ch:;4He42?!7bm380e4950;9j=d<722ci97>5;n0:e?6=3th><:4?:583>5}#:ki1=:64He56?Mb192.:ih4=;h;4>5<>i51h0;66sm51494?2=83:p(?ll:05;?Mb0=2Bo:<5+1dg96>o>?3:17d7n:188mg3=831d>4o50;9~f06a290?6=4?{%0ag?7002Bo;85Gd738 4cb2;1b5:4?::k:e?6=3`h>6=44o3;b>5<54;294~"5jj0:;55Gd678La063-;ni7<4i8594?=n1h0;66gm5;29?j4>i3:17pl:0e83>1<729q/>om516:8La123An==6*>ed81?l?02900e4o50;9jf0<722e95l4?::a15e=83>1<7>t$3``>41?3An<96Fk609'5`c=:2c2;7>5;h;b>5<>o>i3:17dl::188k7?f2900qo;?a;290?6=8r.9nn4>799K`23<@m<:7)?je;08m<1=831b5l4?::ka1?6=3f82m7>5;|`64<<72=0;6=u+2ca952><@m=>7Ej91:&2a`<53`3<6=44i8c94?=nj<0;66a=9`83>>{e=921<7:50;2x 7dd28=37Ej85:Jg24=#9lo1>95f9683>>o>i3:17dl::188k7?f2900qo;?5;290?6=8r.9nn4>799K`23<@m<:7)?je;08m<1=831b5l4?::ka1?6=3f82m7>5;|`641<72=0;6=u+2ca952><@m=>7Ej91:&2a`<53`3<6=44i8c94?=nj<0;66a=9`83>>{e6g67;29?l?f2900eo;50;9l65<7s-8io7?88:Jg30=Ol?;0(5;h`6>5<?0Di8>;%3fa?4>oe=3:17b<6a;29?xd3ll0;694?:1y'6ge=9>20Di9:;If55>"6ml097d78:188mNc>81/=hk52:k:3?6=3`3j6=44ic794?=h:0k1<75rb5f`>5<3290;w)Nc?<1Ch;?4$0gf>7=n1>0;66g6a;29?ld22900c?7n:188yg2cj3:187>50z&1ff<6?11Ch:;4He42?!7bm380e4950;9j=d<722ci97>5;n0:e?6=3th?hl4?:583>5}#:ki1=:64He56?Mb192.:ih4=;h;4>5<>i51h0;66sm4e;94?2=83:p(?ll:05;?Mb0=2Bo:<5+1dg96>o>?3:17d7n:188mg3=831d>4o50;9~f1b?290?6=4?{%0ag?7002Bo;85Gd738 4cb2;>0e4950;9j=d<722ci97>5;n0:e?6=3th?h84?:583>5}#:ki1=:64He56?Mb192.:ih4=;h;4>5<>i51h0;66sm4e694?2=83:p(?ll:05;?Mb0=2Bo:<5+1dg96>o>?3:17d7n:188mg3=831d>4o50;9~fa3a29086=4?{%0ag?70?2Bo;85Gd738 4cb2;>0e4950;9jf0<722e95l4?::at$3``>7?d3An<96Fk609'6=0=lkl0(5;h45>5<>oe=3:17d?:5;29?l72>3:17d?:7;29?l7203:17d?:9;29?lb1=3:17dj96;29?j4e;3:17b?:4;29?xd?nh0;6k4?:1y'6ge=:0i0Di9:;If55>"50?0onk5+1dg91>o1<3:17d8::188m30=831b::4?::k56=44i076>5<5<5<6=44ie45>5<5<5f;294~"5jj095n5Gd678La063-83:7jmf:&2a`<23`?0;66g97;29?l0?2900eo;50;9j503=831b=8850;9j501=831b=8650;9j50?=831bh;;50;9j`30=831d>o=50;9l502=831vn5h7:18e>5<7s-8io7<6c:Jg30=Ol?;0(?69:e`e?!7bm3?0e;:50;9j20<722c=:7>5;h44>5<>o6=<0;66g>5783>>o6=>0;66g>5983>>o6=00;66gk6483>>oc>?0;66a=b283>>i6==0;66sm8g194?`=83:p(?ll:3;`?Mb0=2Bo:<5+2949`g`<,8on685f6583>>o1=3:17d89:188m31=831b:54?::ka1?6=3`;>97>5;h362?6=3`;>;7>5;h3657>5;hf51?6=3`n=:7>5;n0a7?6=3f;>87>5;|`;b1<72o0;6=u+2ca967Ej91:&1<36=44i7494?=n>>0;66g98;29?ld22900e<;::188m4312900e<;8:188m43?2900e<;6:188ma022900ei89:188k7d42900c<;;:188yg>a93:1j7>50z&1ff<51j1Ch:;4He42?!4?>3nij6*>ed86?l032900e;;50;9j23<722c=;7>5;h4;>5<1<75f6483>>o1>3:17d88:188m3>=831bn84?::k210<722c:9;4?::k212<722c:954?::k21<<722co:84?::kg23<722e9n>4?::m211<722wi4hh50;d94?6|,;hh6?7l;If41>Nc>81/>585dcd8 4cb2<1b:94?::k51?6=3`<=6=44i7594?=n>10;66gm5;29?l72=3:17d?:6;29?l72?3:17d?:8;29?l7213:17dj95;29?lb1>3:17bc<729q/>om528a8La123An==6*=878gfc=#9lo196g94;29?l022900e;850;9j22<722c=47>5;h`6>5<6=44i075>5<5<5<5<?0Di8>;%0;2?ben2.:ih4:;h47>5<>o1?3:17d87:188mg3=831b=8;50;9j500=831b=8950;9j50>=831b=8750;9j`33=831bh;850;9l6g5=831d=8:50;9~f=cb290m6=4?{%0ag?4>k2Bo;85Gd738 7>12mhm7)?je;78m32=831b:84?::k52?6=3`<<6=44i7:94?=nj<0;66g>5483>>o6=?0;66g>5683>>o6=10;66g>5883>>oc><0;66gk6783>>i5j:0;66a>5583>>{e0lh1<7h50;2x 7dd2;3h7Ej85:Jg24=#:1<1hoh4$0gf>0=n>=0;66g95;29?l012900e;950;9j2=<722ci97>5;h361?6=3`;>:7>5;h363?6=3`;>47>5;h36=?6=3`n=97>5;hf52?6=3f8i?7>5;n360?6=3th3in4?:g83>5}#:ki1>4m4He56?Mb192.94;4kbg9'5`c==2c=87>5;h46>5<>o103:17dl::188m4322900e<;9:188m4302900e<;7:188m43>2900ei8::188ma012900c?l<:188k4332900qo6j9;29b?6=8r.9nn4=9b9K`23<@m<:7)<76;fab>"6ml0>7d8;:188m33=831b:;4?::k53?6=3`<36=44ic794?=n91<75rb9gb>5Nc?<1Ch;?4$3:5>ada3-;ni7;4i7694?=n><0;66g96;29?l002900e;650;9jf0<722c:984?::k213<722c:9:4?::k21=<722c:944?::kg20<722co:;4?::m1f6<722e:994?::a<`1=83l1<7>t$3``>7?d3An<96Fk609'6=0=lkl0(5;h45>5<>oe=3:17d?:5;29?l72>3:17d?:7;29?l7203:17d?:9;29?lb1=3:17dj96;29?j4e;3:17b?:4;29?xd?m10;6k4?:1y'6ge=:0i0Di9:;If55>"50?0onk5+1dg91>o1<3:17d8::188m30=831b::4?::k56=44i076>5<5<5<6=44ie45>5<5<5f;294~"5jj095n5Gd678La063-83:7jmf:&2a`<23`?0;66g97;29?l0?2900eo;50;9j503=831b=8850;9j501=831b=8650;9j50?=831bh;;50;9j`30=831d>o=50;9l502=831vn5k9:18e>5<7s-8io7<6c:Jg30=Ol?;0(?69:e`e?!7bm3?0e;:50;9j20<722c=:7>5;h44>5<>o6=<0;66g>5783>>o6=>0;66g>5983>>o6=00;66gk6483>>oc>?0;66a=b283>>i6==0;66sm8d194?`=83:p(?ll:3;`?Mb0=2Bo:<5+2949`g`<,8on685f6583>>o1=3:17d89:188m31=831b:54?::ka1?6=3`;>97>5;h362?6=3`;>;7>5;h3657>5;hf51?6=3`n=:7>5;n0a7?6=3f;>87>5;|`;a1<72o0;6=u+2ca967Ej91:&1<36=44i7494?=n>>0;66g98;29?ld22900e<;::188m4312900e<;8:188m43?2900e<;6:188ma022900ei89:188k7d42900c<;;:188yg>b93:1j7>50z&1ff<51j1Ch:;4He42?!4?>3nij6*>ed86?l032900e;;50;9j23<722c=;7>5;h4;>5<1<75f6483>>o1>3:17d88:188m3>=831bn84?::k210<722c:9;4?::k212<722c:954?::k21<<722co:84?::kg23<722e9n>4?::m211<722wi4ij50;d94?6|,;hh6?7l;If41>Nc>81/>585dcd8 4cb2<1b:94?::k51?6=3`<=6=44i7594?=n>10;66gm5;29?l72=3:17d?:6;29?l72?3:17d?:8;29?l7213:17dj95;29?lb1>3:17bc<729q/>om528a8La123An==6*=878gfc=#9lo196g94;29?l022900e;850;9j22<722c=47>5;h`6>5<6=44i075>5<5<5<5<?0Di8>;%0;2?ben2.:ih4:;h47>5<>o1?3:17d87:188mg3=831b=8;50;9j500=831b=8950;9j50>=831b=8750;9j`33=831bh;850;9l6g5=831d=8:50;9~f=bd290m6=4?{%0ag?4>k2Bo;85Gd738 7>12mhm7)?je;78m32=831b:84?::k52?6=3`<<6=44i7:94?=nj<0;66g>5483>>o6=?0;66g>5683>>o6=10;66g>5883>>oc><0;66gk6783>>i5j:0;66a>5583>>{e0m31<7h50;2x 7dd2;3h7Ej85:Jg24=#:1<1hoh4$0gf>0=n>=0;66g95;29?l012900e;950;9j2=<722ci97>5;h361?6=3`;>:7>5;h363?6=3`;>47>5;h36=?6=3`n=97>5;hf52?6=3f8i?7>5;n360?6=3th3hl4?:g83>5}#:ki1>4m4He56?Mb192.94;4kbg9'5`c==2c=87>5;h46>5<>o103:17dl::188m4322900e<;9:188m4302900e<;7:188m43>2900ei8::188ma012900c?l<:188k4332900qo6k7;29b?6=8r.9nn4=9b9K`23<@m<:7)<76;fab>"6ml0>7d8;:188m33=831b:;4?::k53?6=3`<36=44ic794?=n91<75rb9f;>5Nc?<1Ch;?4$3:5>ada3-;ni7;4i7694?=n><0;66g96;29?l002900e;650;9jf0<722c:984?::k213<722c:9:4?::k21=<722c:944?::kg20<722co:;4?::m1f6<722e:994?::at$3``>7?d3An<96Fk609'6=0=lkl0(5;h45>5<>oe=3:17d?:5;29?l72>3:17d?:7;29?l7203:17d?:9;29?lb1=3:17dj96;29?j4e;3:17b?:4;29?xd?l?0;6k4?:1y'6ge=:0i0Di9:;If55>"50?0onk5+1dg91>o1<3:17d8::188m30=831b::4?::k56=44i076>5<5<5<6=44ie45>5<5<5f;294~"5jj095n5Gd678La063-83:7jmf:&2a`<23`?0;66g97;29?l0?2900eo;50;9j503=831b=8850;9j501=831b=8650;9j50?=831bh;;50;9j`30=831d>o=50;9l502=831vn5j;:18e>5<7s-8io7<6c:Jg30=Ol?;0(?69:e`e?!7bm3?0e;:50;9j20<722c=:7>5;h44>5<>o6=<0;66g>5783>>o6=>0;66g>5983>>o6=00;66gk6483>>oc>?0;66a=b283>>i6==0;66sm8e394?`=83:p(?ll:3;`?Mb0=2Bo:<5+2949`g`<,8on685f6583>>o1=3:17d89:188m31=831b:54?::ka1?6=3`;>97>5;h362?6=3`;>;7>5;h3657>5;hf51?6=3`n=:7>5;n0a7?6=3f;>87>5;|`;`7<72o0;6=u+2ca967Ej91:&1<36=44i7494?=n>>0;66g98;29?ld22900e<;::188m4312900e<;8:188m43?2900e<;6:188ma022900ei89:188k7d42900c<;;:188yg>dn3:1j7>50z&1ff<51j1Ch:;4He42?!4?>3nij6*>ed86?l032900e;;50;9j23<722c=;7>5;h4;>5<1<75f6483>>o1>3:17d88:188m3>=831bn84?::k210<722c:9;4?::k212<722c:954?::k21<<722co:84?::kg23<722e9n>4?::m211<722wi4nj50;d94?6|,;hh6?7l;If41>Nc>81/>585dcd8 4cb2<1b:94?::k51?6=3`<=6=44i7594?=n>10;66gm5;29?l72=3:17d?:6;29?l72?3:17d?:8;29?l7213:17dj95;29?lb1>3:17bc<729q/>om528a8La123An==6*=878gfc=#9lo196g94;29?l022900e;850;9j22<722c=47>5;h`6>5<6=44i075>5<5<5<5<?0Di8>;%0;2?ben2.:ih4:;h47>5<>o1?3:17d87:188mg3=831b=8;50;9j500=831b=8950;9j50>=831b=8750;9j`33=831bh;850;9l6g5=831d=8:50;9~f=ed290m6=4?{%0ag?4>k2Bo;85Gd738 7>12mhm7)?je;78m32=831b:84?::k52?6=3`<<6=44i7:94?=nj<0;66g>5483>>o6=?0;66g>5683>>o6=10;66g>5883>>oc><0;66gk6783>>i5j:0;66a>5583>>{e19=1<7h50;2x 7dd2;3h7Ej85:Jg24=#:1<1hoh4$0gf>0=n>=0;66g95;29?l012900e;950;9j2=<722ci97>5;h361?6=3`;>:7>5;h363?6=3`;>47>5;h36=?6=3`n=97>5;hf52?6=3f8i?7>5;n360?6=3th2<54?:g83>5}#:ki1>4m4He56?Mb192.94;4kbg9'5`c==2c=87>5;h46>5<>o103:17dl::188m4322900e<;9:188m4302900e<;7:188m43>2900ei8::188ma012900c?l<:188k4332900qo7?5;29b?6=8r.9nn4=9b9K`23<@m<:7)<76;fab>"6ml0>7d8;:188m33=831b:;4?::k53?6=3`<36=44ic794?=n91<75rb825>5Nc?<1Ch;?4$3:5>ada3-;ni7;4i7694?=n><0;66g96;29?l002900e;650;9jf0<722c:984?::k213<722c:9:4?::k21=<722c:944?::kg20<722co:;4?::m1f6<722e:994?::a=55=83l1<7>t$3``>7?d3An<96Fk609'6=0=lkl0(5;h45>5<>oe=3:17d?:5;29?l72>3:17d?:7;29?l7203:17d?:9;29?lb1=3:17dj96;29?j4e;3:17b?:4;29?xd>8=0;6k4?:1y'6ge=:0i0Di9:;If55>"50?0onk5+1dg91>o1<3:17d8::188m30=831b::4?::k56=44i076>5<5<5<6=44ie45>5<5<5f;294~"5jj095n5Gd678La063-83:7jmf:&2a`<23`?0;66g97;29?l0?2900eo;50;9j503=831b=8850;9j501=831b=8650;9j50?=831bh;;50;9j`30=831d>o=50;9l502=831vn4>=:18e>5<7s-8io7<6c:Jg30=Ol?;0(?69:e`e?!7bm3?0e;:50;9j20<722c=:7>5;h44>5<>o6=<0;66g>5783>>o6=>0;66g>5983>>o6=00;66gk6483>>oc>?0;66a=b283>>i6==0;66sm8gd94?`=83:p(?ll:3;`?Mb0=2Bo:<5+2949`g`<,8on685f6583>>o1=3:17d89:188m31=831b:54?::ka1?6=3`;>97>5;h362?6=3`;>;7>5;h3657>5;hf51?6=3`n=:7>5;n0a7?6=3f;>87>5;|`:45<72o0;6=u+2ca967Ej91:&1<36=44i7494?=n>>0;66g98;29?ld22900e<;::188m4312900e<;8:188m43?2900e<;6:188ma022900ei89:188k7d42900c<;;:188yg>al3:1j7>50z&1ff<51j1Ch:;4He42?!4?>3nij6*>ed86?l032900e;;50;9j23<722c=;7>5;h4;>5<1<75f6483>>o1>3:17d88:188m3>=831bn84?::k210<722c:9;4?::k212<722c:954?::k21<<722co:84?::kg23<722e9n>4?::m211<722wi4kl50;d94?6|,;hh6?7l;If41>Nc>81/>585dcd8 4cb2<1b:94?::k51?6=3`<=6=44i7594?=n>10;66gm5;29?l72=3:17d?:6;29?l72?3:17d?:8;29?l7213:17dj95;29?lb1>3:17bc<729q/>om528a8La123An==6*=878gfc=#9lo196g94;29?l022900e;850;9j22<722c=47>5;h`6>5<6=44i075>5<5<5<5<?0Di8>;%0;2?ben2.:ih4:;h47>5<>o1?3:17d87:188mg3=831b=8;50;9j500=831b=8950;9j50>=831b=8750;9j`33=831bh;850;9l6g5=831d=8:50;9~f=`1290m6=4?{%0ag?4>k2Bo;85Gd738 7>12mhm7)?je;78m32=831b:84?::k52?6=3`<<6=44i7:94?=nj<0;66g>5483>>o6=?0;66g>5683>>o6=10;66g>5883>>oc><0;66gk6783>>i5j:0;66a>5583>>{e0ml1<7h50;2x 7dd2;3h7Ej85:Jg24=#:1<1hoh4$0gf>0=n>=0;66g95;29?l012900e;950;9j2=<722ci97>5;h361?6=3`;>:7>5;h363?6=3`;>47>5;h36=?6=3`n=97>5;hf52?6=3f8i?7>5;n360?6=3th3i=4?:g83>5}#:ki1>4m4He56?Mb192.94;4kbg9'5`c==2c=87>5;h46>5<>o103:17dl::188m4322900e<;9:188m4302900e<;7:188m43>2900ei8::188ma012900c?l<:188k4332900qo6l9;29b?6=8r.9nn4=9b9K`23<@m<:7)<76;fab>"6ml0>7d8;:188m33=831b:;4?::k53?6=3`<36=44ic794?=n91<75rb9ab>5Nc?<1Ch;?4$3:5>ada3-;ni7;4i7694?=n><0;66g96;29?l002900e;650;9jf0<722c:984?::k213<722c:9:4?::k21=<722c:944?::kg20<722co:;4?::m1f6<722e:994?::a313=83?1<7>t$3``>41e3An<96Fk609'6=0=lkl0(5;h`6>5<5<54;294~"5jj0:;55Gd678La063-83:7jmf:&2a`<53`3<6=44i8c94?=nj<0;66a=9`83>>{e?==1<7:50;2x 7dd28=37Ej85:Jg24=#:1<1hoh4$0gf>7=n1>0;66g6a;29?ld22900c?7n:188yg1303:147>50z&1ff<5<91Ch:;4He42?!4?>3nij6*>ed82<5=n9l:1<75f1d394?=n9l81<75f1d194?=n9l>1<75f1d794?=h:1?1<75`29694?=zj>9h6=4::183!4ek3;>oe=3:17d<6b;29?j4>i3:17pl83e83>1<729q/>om516:8La123An==6*=878gfc=#9lo1>6g67;29?l?f2900eo;50;9l65<7s-8io7?88:Jg30=Ol?;0(?69:e`e?!7bm380e4950;9j=d<722ci97>5;n0:e?6=3th5}#:ki1>9>4He56?Mb192.94;4kbg9'5`c=91:0e32900qo9<3;291?6=8r.9nn4>7c9K`23<@m<:7)<76;fab>"6ml097d78:188m1<7>t$3``>41?3An<96Fk609'6=0=lkl0(5;h`6>5<?0Di8>;%0;2?ben2.:ih4=;h;4>5<>i51h0;66sm72494?>=83:p(?ll:363?Mb0=2Bo:<5+2949`g`<,8on6<6?;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;h3f0?6=3`;n97>5;n0;1?6=3f8387>5;|`46d<72<0;6=u+2ca952d<@m=>7Ej91:&1<38i6=4;:183!4ek3;<46Fk749K`37<,;2=6ili;%3fa?4>oe=3:17b<6a;29?xd0:j0;694?:1y'6ge=9>20Di9:;If55>"50?0onk5+1dg96>o>?3:17d7n:188mg3=831d>4o50;9~f24c29036=4?{%0ag?4382Bo;85Gd738 7>12mhm7)?je;3;4>o6m90;66g>e083>>o6m;0;66g>e283>>o6m=0;66g>e483>>i50<0;66a=8583>>{e?;;1<7;50;2x 7dd28=i7Ej85:Jg24=#:1<1hoh4$0gf>7=n1>0;66g6a;29?ld22900e?7m:188k7?f2900qo9=2;290?6=8r.9nn4>799K`23<@m<:7)<76;fab>"6ml097d78:188mNc>81/>585dcd8 4cb2;1b5:4?::k:e?6=3`h>6=44o3;b>5<58;294~"5jj098=5Gd678La063-83:7jmf:&2a`<6091b=h>50;9j5`7=831b=h<50;9j5`5=831b=h:50;9j5`3=831d>5;50;9l6=2=831vn:?7:186>5<7s-8io7?8b:Jg30=Ol?;0(?69:e`e?!7bm380e4950;9j=d<722ci97>5;h0:f?6=3f82m7>5;|`45<<72=0;6=u+2ca952><@m=>7Ej91:&1<35<3290;w)Nc?<1Ch;?4$3:5>ada3-;ni7<4i8594?=n1h0;66gm5;29?j4>i3:17pl81c83>=<729q/>om52528La123An==6*=878gfc=#9lo1=5>4i0g3>5<5<5<6=44o3:6>5<?0Di8>;%0;2?ben2.:ih4=;h;4>5<>o51k0;66a=9`83>>{e0:o1<7:50;2x 7dd28=37Ej85:Jg24=#:1<1hoh4$0gf>7=n1>0;66g6a;29?ld22900c?7n:188yg>4n3:187>50z&1ff<6?11Ch:;4He42?!4?>3nij6*>ed81?l?02900e4o50;9jf0<722e95l4?::a<16=8321<7>t$3``>7273An<96Fk609'6=0=lkl0(h0Di9:;If55>"50?0onk5+1dg96>o>?3:17d7n:188mg3=831b>4l50;9l65<7s-8io7?88:Jg30=Ol?;0(?69:e`e?!7bm380e4950;9j=d<722ci97>5;n0:e?6=3th3?84?:583>5}#:ki1=:64He56?Mb192.94;4kbg9'5`c=:2c2;7>5;h;b>5<Nc>81/>585dcd8 4cb2;1b5:4?::k:e?6=3`h>6=44i3;a>5<?0Di8>;%0;2?ben2.:ih4=;h;4>5<>i51h0;66sm83a94?2=83:p(?ll:05;?Mb0=2Bo:<5+2949`g`<,8on6?5f9683>>o>i3:17dl::188k7?f2900qo6=d;29"6ml0:4=5f1d294?=n9l;1<75f1d094?=n9l91<75f1d694?=n9l?1<75`29794?=h:1>1<75rb902>5<2290;w)Nc?<1Ch;?4$3:5>ada3-;ni7<4i8594?=n1h0;66gm5;29?l4>j3:17b<6a;29?xd?:;0;694?:1y'6ge=9>20Di9:;If55>"50?0onk5+1dg96>o>?3:17d7n:188mg3=831d>4o50;9~f=44290?6=4?{%0ag?7002Bo;85Gd738 7>12mhm7)?je;08m<1=831b5l4?::ka1?6=3f82m7>5;|`;61<7210;6=u+2ca9616<@m=>7Ej91:&1<3:188m4c52900e22900c?6;:188yg>603:197>50z&1ff<6?k1Ch:;4He42?!4?>3nij6*>ed81?l?02900e4o50;9jf0<722c95o4?::m1=d<722wi4<750;694?6|,;hh6<97;If41>Nc>81/>585dcd8 4cb2;1b5:4?::k:e?6=3`h>6=44o3;b>5<54;294~"5jj0:;55Gd678La063-83:7jmf:&2a`<53`3<6=44i8c94?=nj<0;66a=9`83>>{e08h1<7650;2x 7dd2;>;7Ej85:Jg24=#:1<1hoh4$0gf>4>73`;n<7>5;h3f5?6=3`;n>7>5;h3f7?6=3`;n87>5;h3f1?6=3f8397>5;n0;0?6=3th35}#:ki1=:l4He56?Mb192.94;4kbg9'5`c=:2c2;7>5;h;b>5<5<3290;w)Nc?<1Ch;?4$3:5>ada3-;ni7<4i8594?=n1h0;66gm5;29?j4>i3:17pl71083>1<729q/>om516:8La123An==6*=878gfc=#9lo1>6g67;29?l?f2900eo;50;9l65<7s-8io7<;0:Jg30=Ol?;0(?69:e`e?!7bm3;3<6g>e183>>o6m80;66g>e383>>o6m:0;66g>e583>>o6m<0;66a=8483>>i50=0;66sm81494?3=83:p(?ll:05a?Mb0=2Bo:<5+2949`g`<,8on6?5f9683>>o>i3:17dl::188m7?e2900c?7n:188yg>7?3:187>50z&1ff<6?11Ch:;4He42?!4?>3nij6*>ed81?l?02900e4o50;9jf0<722e95l4?::a<5>=83>1<7>t$3``>41?3An<96Fk609'6=0=lkl0(5;h`6>5<?0Di8>;%0;2?ben2.:ih4>819j5`6=831b=h?50;9j5`4=831b=h=50;9j5`2=831b=h;50;9l6=3=831d>5:50;9~f2ce290>6=4?{%0ag?70j2Bo;85Gd738 7>12mhm7)?je;08m<1=831b5l4?::ka1?6=3`82n7>5;n0:e?6=3th5}#:ki1=:64He56?Mb192.94;4kbg9'5`c=:2c2;7>5;h;b>5<oo6=4;:183!4ek3;<46Fk749K`37<,;2=6ili;%3fa?4>oe=3:17b<6a;29?xd0ml0;654?:1y'6ge=:=:0Di9:;If55>"50?0onk5+1dg95=65<5<5<6=44o3:7>5<7>55;294~"5jj0:;o5Gd678La063-83:7jmf:&2a`<53`3<6=44i8c94?=nj<0;66g=9c83>>i51h0;66sm76194?2=83:p(?ll:05;?Mb0=2Bo:<5+2949`g`<,8on6?5f9683>>o>i3:17dl::188k7?f2900qo984;290?6=8r.9nn4>799K`23<@m<:7)<76;fab>"6ml097d78:188mNc>81/>585dcd8 4cb282;7d?j0;29?l7b93:17d?j2;29?l7b;3:17d?j4;29?l7b=3:17b<75;29?j4?<3:17pl89`83>0<729q/>om516`8La123An==6*=878gfc=#9lo1>6g67;29?l?f2900eo;50;9j64o50;9~f2?e290?6=4?{%0ag?7002Bo;85Gd738 7>12mhm7)?je;08m<1=831b5l4?::ka1?6=3f82m7>5;|`4=f<72=0;6=u+2ca952><@m=>7Ej91:&1<35Nc?<1Ch;?4$3:5>ada3-;ni7?70:k2a5<722c:i<4?::k2a7<722c:i>4?::k2a1<722c:i84?::m1<0<722e9494?::a3<6=83?1<7>t$3``>41e3An<96Fk609'6=0=lkl0(5;h`6>5<5<54;294~"5jj0:;55Gd678La063-83:7jmf:&2a`<53`3<6=44i8c94?=nj<0;66a=9`83>>{e?081<7:50;2x 7dd28=37Ej85:Jg24=#:1<1hoh4$0gf>7=n1>0;66g6a;29?ld22900c?7n:188yg1>;3:147>50z&1ff<5<91Ch:;4He42?!4?>3nij6*>ed82<5=n9l:1<75f1d394?=n9l81<75f1d194?=n9l>1<75f1d794?=h:1?1<75`29694?=zj>2=6=4::183!4ek3;>oe=3:17d<6b;29?j4>i3:17pl88683>1<729q/>om516:8La123An==6*=878gfc=#9lo1>6g67;29?l?f2900eo;50;9l65<7s-8io7?88:Jg30=Ol?;0(?69:e`e?!7bm380e4950;9j=d<722ci97>5;n0:e?6=3th<444?:983>5}#:ki1>9>4He56?Mb192.94;4kbg9'5`c=91:0e32900qo98c;291?6=8r.9nn4>7c9K`23<@m<:7)<76;fab>"6ml097d78:188m1<7>t$3``>41?3An<96Fk609'6=0=lkl0(5;h`6>5<?0Di8>;%0;2?ben2.:ih4=;h;4>5<>i51h0;66sm76d94?>=83:p(?ll:363?Mb0=2Bo:<5+2949`g`<,8on6<6?;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;h3f0?6=3`;n97>5;n0;1?6=3f8387>5;|`42<<72<0;6=u+2ca952d<@m=>7Ej91:&1<3>oe=3:17b<6a;29?xd0>k0;694?:1y'6ge=9>20Di9:;If55>"50?0onk5+1dg96>o>?3:17d7n:188mg3=831d>4o50;9~f20d29036=4?{%0ag?4382Bo;85Gd738 7>12mhm7)?je;3;4>o6m90;66g>e083>>o6m;0;66g>e283>>o6m=0;66g>e483>>i50<0;66a=8583>>{e?7=n1>0;66g6a;29?ld22900e?7m:188k7?f2900qo990;290?6=8r.9nn4>799K`23<@m<:7)<76;fab>"6ml097d78:188mNc>81/>585dcd8 4cb2;1b5:4?::k:e?6=3`h>6=44o3;b>5<7>58;294~"5jj098=5Gd678La063-83:7jmf:&2a`<6091b=h>50;9j5`7=831b=h<50;9j5`5=831b=h:50;9j5`3=831d>5;50;9l6=2=831vn:;::186>5<7s-8io7?8b:Jg30=Ol?;0(?69:e`e?!7bm380e4950;9j=d<722ci97>5;h0:f?6=3f82m7>5;|`413<72=0;6=u+2ca952><@m=>7Ej91:&1<35<3290;w)Nc?<1Ch;?4$3:5>ada3-;ni7<4i8594?=n1h0;66gm5;29?j4>i3:17pl85983>=<729q/>om52528La123An==6*=878gfc=#9lo1=5>4i0g3>5<5<5<6=44o3:6>5<?0Di8>;%0;2?ben2.:ih4=;h;4>5<>o51k0;66a=9`83>>{e?=o1<7:50;2x 7dd28=37Ej85:Jg24=#:1<1hoh4$0gf>7=n1>0;66g6a;29?ld22900c?7n:188yg13n3:187>50z&1ff<6?11Ch:;4He42?!4?>3nij6*>ed81?l?02900e4o50;9jf0<722e95l4?::a306=8321<7>t$3``>7273An<96Fk609'6=0=lkl0(h0Di9:;If55>o>?3:17d7n:188mg3=831b>4l50;9l65<7s-8io7?8b:Jg30=Ol?;0e4950;9j=d<722ci97>5;h0:f?6=3f82m7>5;|`444<72<0;6=u+2ca952d<@m=>7Ej91:k:3?6=3`3j6=44ic794?=n:0h1<75`28c94?=zj>:96=4::183!4ek3;>oe=3:17d<6b;29?j4>i3:17pl80283>0<729q/>om516`8La123An==6g67;29?l?f2900eo;50;9j64o50;9~f263290>6=4?{%0ag?70j2Bo;85Gd738m<1=831b5l4?::ka1?6=3`82n7>5;n0:e?6=3th<<84?:483>5}#:ki1=:l4He56?Mb192c2;7>5;h;b>5<5<2290;w)Nc?<1Ch;?4i8594?=n1h0;66gm5;29?l4>j3:17b<6a;29?xd08>0;684?:1y'6ge=9>h0Di9:;If55>o>?3:17d7n:188mg3=831b>4l50;9l67:186>5<7s-8io7?8b:Jg30=Ol?;0e4950;9j=d<722ci97>5;h0:f?6=3f82m7>5;|`5bg<72<0;6=u+2ca952d<@m=>7Ej91:k:3?6=3`3j6=44ic794?=n:0h1<75`28c94?=zj?lh6=4::183!4ek3;>oe=3:17d<6b;29?j4>i3:17pl9fe83>0<729q/>om516`8La123An==6g67;29?l?f2900eo;50;9j64o50;9~f3`b290>6=4?{%0ag?70j2Bo;85Gd738m<1=831b5l4?::ka1?6=3`82n7>5;n0:e?6=3th=jk4?:483>5}#:ki1=:l4He56?Mb192c2;7>5;h;b>5<5<2290;w)Nc?<1Ch;?4i8594?=n1h0;66gm5;29?l4>j3:17b<6a;29?xd0i10;654?:1y'6ge=:=:0Di9:;If55>"50?0onk5+1dg95=65<5<5<6=44o3:7>5<54;294~"5jj0:;55Gd678La063-83:7jmf:&2a`<53`3<6=44i8c94?=nj<0;66a=9`83>>{e?h<1<7:50;2x 7dd28=37Ej85:Jg24=#:1<1hoh4$0gf>7=n1>0;66g6a;29?ld22900c?7n:188yg1f=3:197>50z&1ff<6?k1Ch:;4He42?!4?>3nij6*>ed81?l?02900e4o50;9jf0<722c95o4?::m1=d<722wi;o:50;:94?6|,;hh6?:?;If41>Nc>81/>585dcd8 4cb282;7d?j0;29?l7b93:17d?j2;29?l7b;3:17d?j4;29?l7b=3:17b<75;29?j4?<3:17pl8b283>1<729q/>om516:8La123An==6*=878gfc=#9lo1>6g67;29?l?f2900eo;50;9l65<7s-8io7?88:Jg30=Ol?;0(?69:e`e?!7bm380e4950;9j=d<722ci97>5;n0:e?6=3th5}#:ki1=:l4He56?Mb192.94;4kbg9'5`c=:2c2;7>5;h;b>5<5Nc?<1Ch;?4$3:5>ada3-;ni7?70:k2a5<722c:i<4?::k2a7<722c:i>4?::k2a1<722c:i84?::m1<0<722e9494?::a3`5=83>1<7>t$3``>41?3An<96Fk609'6=0=lkl0(5;h`6>5<?0Di8>;%0;2?ben2.:ih4=;h;4>5<>i51h0;66sm7d394?3=83:p(?ll:05a?Mb0=2Bo:<5+2949`g`<,8on6?5f9683>>o>i3:17dl::188m7?e2900c?7n:188yg1a?3:147>50z&1ff<5<91Ch:;4He42?!4?>3nij6*>ed82<5=n9l:1<75f1d394?=n9l81<75f1d194?=n9l>1<75f1d794?=h:1?1<75`29694?=zj>l=6=4;:183!4ek3;<46Fk749K`37<,;2=6ili;%3fa?4>oe=3:17b<6a;29?xd0n<0;694?:1y'6ge=9>20Di9:;If55>"50?0onk5+1dg96>o>?3:17d7n:188mg3=831d>4o50;9~f2`3290>6=4?{%0ag?70j2Bo;85Gd738 7>12mhm7)?je;08m<1=831b5l4?::ka1?6=3`82n7>5;n0:e?6=3th3<=4?:983>5}#:ki1>9>4He56?Mb192.94;4kbg9'5`c=91:0e32900qo9if;290?6=8r.9nn4>799K`23<@m<:7)<76;fab>"6ml097d78:188mNc>81/>585dcd8 4cb2;1b5:4?::k:e?6=3`h>6=44o3;b>5<55;294~"5jj0:;o5Gd678La063-83:7jmf:&2a`<53`3<6=44i8c94?=nj<0;66g=9c83>>i51h0;66sm7e:94?>=83:p(?ll:363?Mb0=2Bo:<5+2949`g`<,8on6<6?;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;h3f0?6=3`;n97>5;n0;1?6=3f8387>5;|`4`2<72=0;6=u+2ca952><@m=>7Ej91:&1<35<3290;w)Nc?<1Ch;?4$3:5>ada3-;ni7<4i8594?=n1h0;66gm5;29?j4>i3:17pl8d483>0<729q/>om516`8La123An==6*=878gfc=#9lo1>6g67;29?l?f2900eo;50;9j64o50;9~f2ed29036=4?{%0ag?4382Bo;85Gd738 7>12mhm7)?je;3;4>o6m90;66g>e083>>o6m;0;66g>e283>>o6m=0;66g>e483>>i50<0;66a=8583>>{e?jh1<7:50;2x 7dd28=37Ej85:Jg24=#:1<1hoh4$0gf>7=n1>0;66g6a;29?ld22900c?7n:188yg1di3:187>50z&1ff<6?11Ch:;4He42?!4?>3nij6*>ed81?l?02900e4o50;9jf0<722e95l4?::a3f?=83?1<7>t$3``>41e3An<96Fk609'6=0=lkl0(5;h`6>5<5<58;294~"5jj098=5Gd678La063-83:7jmf:&2a`<6091b=h>50;9j5`7=831b=h<50;9j5`5=831b=h:50;9j5`3=831d>5;50;9l6=2=831vn:li:187>5<7s-8io7?88:Jg30=Ol?;0(?69:e`e?!7bm380e4950;9j=d<722ci97>5;n0:e?6=3th5}#:ki1=:64He56?Mb192.94;4kbg9'5`c=:2c2;7>5;h;b>5<ho6=4::183!4ek3;>oe=3:17d<6b;29?j4>i3:17pl80g83>=<729q/>om52528La123An==6*=878gfc=#9lo1=5>4i0g3>5<5<5<6=44o3:6>5<?0Di8>;%0;2?ben2.:ih4=;h;4>5<>i51h0;66sm71f94?2=83:p(?ll:05;?Mb0=2Bo:<5+2949`g`<,8on6?5f9683>>o>i3:17dl::188k7?f2900qo9?c;291?6=8r.9nn4>7c9K`23<@m<:7)<76;fab>"6ml097d78:188mt$3``>7273An<96Fk609'6=0=lkl0(:188m4c52900e22900c?6;:188yg0?m3:187>50z&1ff<5<<1Ch:;4He42?!4?>3nij6g6c;29?ld>2900e?ol:188k7512900qo87f;297?6=8r.9nn4k759K`23<@m<:7)<76;fab>o>k3:17d<<5;29?j4?;3:17pl98983>=<729q/>om52528La123An==6*=878gfc=#9lo19>5f1d294?=n9l;1<75f1d094?=n9l91<75f1d694?=n9l?1<75`29794?=h:1>1<75rb7::>5<3290;w)Nc?<1Ch;?4$3:5>ada3`3h6=44ic;94?=n:hi1<75`22494?=zj?2j6=4<:183!4ek3n<86Fk749K`37<,;2=6ili;h;`>5<6=44o3:0>5<58;294~"5jj098=5Gd678La063-83:7jmf:&2a`<2;2c:i=4?::k2a4<722c:i?4?::k2a6<722c:i94?::k2a0<722e9484?::m1<1<722wi::h50;694?6|,;hh6?::;If41>Nc>81/>585dcd8mt$3``>a133An<96Fk609'6=0=lkl0e4m50;9j663=831d>5=50;9~f31>29036=4?{%0ag?4382Bo;85Gd738 7>12mhm7)?je;70?l7b83:17d?j1;29?l7b:3:17d?j3;29?l7b<3:17d?j5;29?j4?=3:17b<74;29?xd1?h0;694?:1y'6ge=:=?0Di9:;If55>"50?0onk5f9b83>>oe13:17d3:17pl97c83>6<729q/>om5d668La123An==6*=878gfc=n1j0;66g=3483>>i50:0;66sm66694?>=83:p(?ll:363?Mb0=2Bo:<5+2949`g`<,8on68=4i0g3>5<5<5<6=44o3:6>5<?0Di8>;%0;2?ben2c2o7>5;h`:>5<5<53;294~"5jj0o;95Gd678La063-83:7jmf:k:g?6=3`8897>5;n0;7?6=3th=:k4?:983>5}#:ki1>9>4He56?Mb192.94;4kbg9'5`c==:1b=h>50;9j5`7=831b=h<50;9j5`5=831b=h:50;9j5`3=831d>5;50;9l6=2=831vn;9?:187>5<7s-8io7<;5:Jg30=Ol?;0(?69:e`e?l?d2900eo750;9j6de=831d>>850;9~f31629086=4?{%0ag?b0<2Bo;85Gd738 7>12mhm7d7l:188m7522900c?6<:188yg01i3:147>50z&1ff<5<91Ch:;4He42?!4?>3nij6*>ed867>o6m90;66g>e083>>o6m;0;66g>e283>>o6m=0;66g>e483>>i50<0;66a=8583>>{e>?h1<7:50;2x 7dd2;>>7Ej85:Jg24=#:1<1hoh4i8a94?=nj00;66g=ab83>>i5;?0;66sm67a94?5=83:p(?ll:e57?Mb0=2Bo:<5+2949`g`5Nc?<1Ch;?4$3:5>ada3-;ni7;<;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;h3f0?6=3`;n97>5;n0;1?6=3f8387>5;|`523<72=0;6=u+2ca9613<@m=>7Ej91:&1<35;n002?6=3th=::4?:283>5}#:ki1h::4He56?Mb192.94;4kbg9j=f<722c9?84?::m1<6<722wi:;>50;:94?6|,;hh6?:?;If41>Nc>81/>585dcd8 4cb2<90e32900qo891;290?6=8r.9nn4=449K`23<@m<:7)<76;fab>o>k3:17dl6:188m7gd2900c?=9:188yg01:3:1?7>50z&1ff3nij6g6c;29?l44=3:17b<73;29?xd1=k0;654?:1y'6ge=:=:0Di9:;If55>"50?0onk5+1dg916=n9l:1<75f1d394?=n9l81<75f1d194?=n9l>1<75f1d794?=h:1?1<75`29694?=zj??h6=4;:183!4ek38?96Fk749K`37<,;2=6ili;h;`>5<5<4290;w)Nc?<1Ch;?4$3:5>ada3`3h6=44i316>5<?0Di8>;%0;2?ben2.:ih4:3:k2a5<722c:i<4?::k2a7<722c:i>4?::k2a1<722c:i84?::m1<0<722e9494?::a201=83>1<7>t$3``>7223An<96Fk609'6=0=lkl0e4m50;9jf<<722c9mn4?::m173<722wi:8650;194?6|,;hh6i9;;If41>Nc>81/>585dcd8m>;50;9l6=5=831vn;;>:18;>5<7s-8io7<;0:Jg30=Ol?;0(?69:e`e?!7bm3?87d?j0;29?l7b93:17d?j2;29?l7b;3:17d?j4;29?l7b=3:17b<75;29?j4?<3:17pl95383>1<729q/>om52578La123An==6*=878gfc=n1j0;66gm9;29?l4fk3:17b<<6;29?xd1=:0;6>4?:1y'6ge=l>>0Di9:;If55>"50?0onk5f9b83>>o5;<0;66a=8283>>{e>==1<7650;2x 7dd2;>;7Ej85:Jg24=#:1<1hoh4$0gf>055<5<5<6=44o3:7>5<54;294~"5jj09885Gd678La063-83:7jmf:k:g?6=3`h26=44i3c`>5<?0Di8>;%0;2?ben2c2o7>5;h001?6=3f83?7>5;|`507<7210;6=u+2ca9616<@m=>7Ej91:&1<35:50;9~f324290?6=4?{%0ag?43=2Bo;85Gd738 7>12mhm7d7l:188mg?=831b>lm50;9l660=831vn;:;:180>5<7s-8io7j84:Jg30=Ol?;0(?69:e`e?l?d2900e?=::188k7>42900qo8"6ml0>?6g>e183>>o6m80;66g>e383>>o6m:0;66g>e583>>o6m<0;66a=8483>>i50=0;66sm62g94?2=83:p(?ll:366?Mb0=2Bo:<5+2949`g`>o5ij0;66a=3783>>{e>:l1<7=50;2x 7dd2m=?7Ej85:Jg24=#:1<1hoh4i8a94?=n::?1<75`29194?=zj?936=47:183!4ek38?<6Fk749K`37<,;2=6ili;%3fa?343`;n<7>5;h3f5?6=3`;n>7>5;h3f7?6=3`;n87>5;h3f1?6=3f8397>5;n0;0?6=3th=?44?:583>5}#:ki1>9;4He56?Mb192.94;4kbg9j=f<722ci57>5;h0bg?6=3f88:7>5;|`57d<72:0;6=u+2ca9`22<@m=>7Ej91:&1<34?::a265=8321<7>t$3``>7273An<96Fk609'6=0=lkl0(:188m4c52900e22900c?6;:188yg04<3:187>50z&1ff<5<<1Ch:;4He42?!4?>3nij6g6c;29?ld>2900e?ol:188k7512900qo8<5;297?6=8r.9nn4k759K`23<@m<:7)<76;fab>o>k3:17d<<5;29?j4?;3:17pl92d83>=<729q/>om52528La123An==6*=878gfc=#9lo19>5f1d294?=n9l;1<75f1d094?=n9l91<75f1d694?=n9l?1<75`29794?=h:1>1<75rb70e>5<3290;w)Nc?<1Ch;?4$3:5>ada3`3h6=44ic;94?=n:hi1<75`22494?=zj?9;6=4<:183!4ek3n<86Fk749K`37<,;2=6ili;h;`>5<6=44o3:0>5<58;294~"5jj098=5Gd678La063-83:7jmf:&2a`<2;2c:i=4?::k2a4<722c:i?4?::k2a6<722c:i94?::k2a0<722e9484?::m1<1<722wi:?o50;694?6|,;hh6?::;If41>Nc>81/>585dcd8mt$3``>a133An<96Fk609'6=0=lkl0e4m50;9j663=831d>5=50;9~f34329036=4?{%0ag?4382Bo;85Gd738 7>12mhm7)?je;70?l7b83:17d?j1;29?l7b:3:17d?j3;29?l7b<3:17d?j5;29?j4?=3:17b<74;29?xd1:<0;694?:1y'6ge=:=?0Di9:;If55>"50?0onk5f9b83>>oe13:17d3:17pl92783>6<729q/>om5d668La123An==6*=878gfc=n1j0;66g=3483>>i50:0;66sm60d94?>=83:p(?ll:363?Mb0=2Bo:<5+2949`g`<,8on68=4i0g3>5<5<5<6=44o3:6>5<?0Di8>;%0;2?ben2c2o7>5;h`:>5<5<53;294~"5jj0o;95Gd678La063-83:7jmf:k:g?6=3`8897>5;n0;7?6=3th==l4?:983>5}#:ki1>9>4He56?Mb192.94;4kbg9'5`c==:1b=h>50;9j5`7=831b=h<50;9j5`5=831b=h:50;9j5`3=831d>5;50;9l6=2=831vn;?m:187>5<7s-8io7<;5:Jg30=Ol?;0(?69:e`e?l?d2900eo750;9j6de=831d>>850;9~f37d29086=4?{%0ag?b0<2Bo;85Gd738 7>12mhm7d7l:188m7522900c?6<:188yg0e83:147>50z&1ff<5<91Ch:;4He42?!4?>3nij6*>ed867>o6m90;66g>e083>>o6m;0;66g>e283>>o6m=0;66g>e483>>i50<0;66a=8583>>{e>k;1<7:50;2x 7dd2;>>7Ej85:Jg24=#:1<1hoh4i8a94?=nj00;66g=ab83>>i5;?0;66sm6c094?5=83:p(?ll:e57?Mb0=2Bo:<5+2949`g`5Nc?<1Ch;?4$3:5>ada3-;ni7;<;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;h3f0?6=3`;n97>5;n0;1?6=3f8387>5;|`5ef<72=0;6=u+2ca9613<@m=>7Ej91:&1<35;n002?6=3th=mi4?:283>5}#:ki1h::4He56?Mb192.94;4kbg9j=f<722c9?84?::m1<6<722wi:l850;:94?6|,;hh6?:?;If41>Nc>81/>585dcd8 4cb2<90e32900qo8n7;290?6=8r.9nn4=449K`23<@m<:7)<76;fab>o>k3:17dl6:188m7gd2900c?=9:188yg0f03:1?7>50z&1ff3nij6g6c;29?l44=3:17b<73;29?xd1i80;654?:1y'6ge=:=:0Di9:;If55>"50?0onk5+1dg916=n9l:1<75f1d394?=n9l81<75f1d194?=n9l>1<75f1d794?=h:1?1<75`29694?=zj?k96=4;:183!4ek38?96Fk749K`37<,;2=6ili;h;`>5<5<4290;w)Nc?<1Ch;?4$3:5>ada3`3h6=44i316>5<?0Di8>;%0;2?ben2.:ih4:3:k2a5<722c:i<4?::k2a7<722c:i>4?::k2a1<722c:i84?::m1<0<722e9494?::a21<7>t$3``>7223An<96Fk609'6=0=lkl0e4m50;9jf<<722c9mn4?::m173<722wi:4k50;194?6|,;hh6i9;;If41>Nc>81/>585dcd8m>;50;9l6=5=831vn;78:18;>5<7s-8io7<;0:Jg30=Ol?;0(?69:e`e?!7bm3?87d?j0;29?l7b93:17d?j2;29?l7b;3:17d?j4;29?l7b=3:17b<75;29?j4?<3:17pl99983>1<729q/>om52578La123An==6*=878gfc=n1j0;66gm9;29?l4fk3:17b<<6;29?xd1100;6>4?:1y'6ge=l>>0Di9:;If55>"50?0onk5f9b83>>o5;<0;66a=8283>>{e>081<7650;2x 7dd2;>;7Ej85:Jg24=#:1<1hoh4$0gf>055<5<5<6=44o3:7>5<54;294~"5jj09885Gd678La063-83:7jmf:k:g?6=3`h26=44i3c`>5<?0Di8>;%0;2?ben2c2o7>5;h001?6=3f83?7>5;|`5<6<7210;6=u+2ca9616<@m=>7Ej91:&1<35:50;9~f3>3290?6=4?{%0ag?43=2Bo;85Gd738 7>12mhm7d7l:188mg?=831b>lm50;9l660=831vn;6::180>5<7s-8io7j84:Jg30=Ol?;0(?69:e`e?l?d2900e?=::188k7>42900qo8;c;29"6ml0>?6g>e183>>o6m80;66g>e383>>o6m:0;66g>e583>>o6m<0;66a=8483>>i50=0;66sm65f94?2=83:p(?ll:366?Mb0=2Bo:<5+2949`g`>o5ij0;66a=3783>>{e>=o1<7=50;2x 7dd2m=?7Ej85:Jg24=#:1<1hoh4i8a94?=n::?1<75`29194?=zj?h>6=4;:183!4ek38?96Fk749K`37<,;2=6ili;h;`>5<5<4290;w)Nc?<1Ch;?4$3:5>ada3`;n<7>5;h3f5?6=3f88:7>5;|`5f=<72=0;6=u+2ca966e<@m=>7Ej91:&1<34?::a245=8391<7>t$3``>a133An<96Fk609'6=0=lkl0e4m50;9j663=831d>5=50;9~f376290?6=4?{%0ag?43=2Bo;85Gd738 7>12mhm7d7l:188mg?=831b>lm50;9l660=831vn;?=:187>5<7s-8io7<;5:Jg30=Ol?;0(?69:e`e?l?d2900eo750;9j6de=831d>>850;9~f37729086=4?{%0ag?43<2Bo;85Gd738 7>12mhm7d?j0;29?l7b93:17b<<6;29?xd18l0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg95255<5<?0Di8>;%0;2?ben2.:ih4:3:k2a5<722c:i<4?::k2a7<722c:i>4?::k2a1<722c:i84?::m1<6<722wim;850;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb2==0e50z&1ff<5;m1Ch:;4He42?!4?>3nij6*>ed8230=n9l:1<75f1d394?=n9l81<75f1d194?=h:191<75rb`4;>5<3290;w)Nc?<1Ch;?4$3:5>ada3`;n<7>5;h3f5?6=3`8jo7>5;n0;7?6=3thj:<4?:483>5}#:ki1>>j4He56?Mb192.94;4kbg9'5`c=<>1b=h>50;9j5`7=831b=h<50;9j5`5=831d>5=50;9~fd05290>6=4?{%0ag?44l2Bo;85Gd738 7>12mhm7)?je;341>o6m90;66g>e083>>o6m;0;66g>e283>>i50:0;66sma7194?2=83:p(?ll:365?Mb0=2Bo:<5+2949`g`5<5<;7>55;294~"5jj09?i5Gd678La063-83:7jmf:&2a`<3?2c:i=4?::k2a4<722c:i?4?::k2a6<722e94>4?::ae0>=83?1<7>t$3``>75c3An<96Fk609'6=0=lkl0(1<729q/>om52548La123An==6*=878gfc=n9l:1<75f1d394?=n:hi1<75`29194?=zjh?96=4::183!4ek388h6Fk749K`37<,;2=6ili;%3fa?203`;n<7>5;h3f5?6=3`;n>7>5;h3f7?6=3f83?7>5;|`b16<72<0;6=u+2ca966b<@m=>7Ej91:&1<3:188m4c52900e42900qoo:4;290?6=8r.9nn4=479K`23<@m<:7)<76;fab>o6m90;66g>e083>>o5ij0;66a=8283>>{ei=n1<7;50;2x 7dd2;9o7Ej85:Jg24=#:1<1hoh4$0gf>115<5<?0Di8>;%0;2?ben2.:ih4>749j5`6=831b=h?50;9j5`4=831b=h=50;9l6=5=831vnl:i:187>5<7s-8io7<;6:Jg30=Ol?;0(?69:e`e?l7b83:17d?j1;29?l4fk3:17b<73;29?xdf<10;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg902=n9l:1<75f1d394?=n9l81<75f1d194?=h:191<75rb`6:>5<2290;w)Nc?<1Ch;?4$3:5>ada3-;ni7?85:k2a5<722c:i<4?::k2a7<722c:i>4?::m1<6<722wim9o50;694?6|,;hh6?:9;If41>Nc>81/>585dcd8m4c72900e:188m7gd2900c?6<:188ygg3;3:197>50z&1ff<5;m1Ch:;4He42?!4?>3nij6*>ed873>o6m90;66g>e083>>o6m;0;66g>e283>>i50:0;66sma5694?3=83:p(?ll:31g?Mb0=2Bo:<5+2949`g`<,8on6<9:;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;n0;7?6=3thj884?:583>5}#:ki1>984He56?Mb192.94;4kbg9j5`6=831b=h?50;9j6de=831d>5=50;9~fd5b290>6=4?{%0ag?44l2Bo;85Gd738 7>12mhm7)?je;64?l7b83:17d?j1;29?l7b:3:17d?j3;29?j4?;3:17pln3g83>0<729q/>om522f8La123An==6*=878gfc=#9lo1=:;4i0g3>5<5<5<54;294~"5jj098;5Gd678La063-83:7jmf:k2a5<722c:i<4?::k1ef<722e94>4?::ae6?=83?1<7>t$3``>75c3An<96Fk609'6=0=lkl0(:188m4c52900e42900qoo"6ml0:;85f1d294?=n9l;1<75f1d094?=n9l91<75`29194?=zjh9i6=4;:183!4ek38?:6Fk749K`37<,;2=6ili;h3f4?6=3`;n=7>5;h0bg?6=3f83?7>5;|`b71<72<0;6=u+2ca966b<@m=>7Ej91:&1<35<7s-8io7<e183>>o6m80;66g>e383>>o6m:0;66a=8283>>{ei:<1<7:50;2x 7dd2;>=7Ej85:Jg24=#:1<1hoh4i0g3>5<5<?0Di8>;%0;2?ben2.:ih4;7:k2a5<722c:i<4?::k2a7<722c:i>4?::m1<6<722wim>>50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28=>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xdf;80;694?:1y'6ge=:=<0Di9:;If55>"50?0onk5f1d294?=n9l;1<75f2`a94?=h:191<75rb`0b>5<2290;w)Nc?<1Ch;?4$3:5>ada3-;ni7:8;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;n0;7?6=3thj>o4?:483>5}#:ki1>>j4He56?Mb192.94;4kbg9'5`c=9>?0e50z&1ff<53nij6g>e183>>o6m80;66g=ab83>>i50:0;66sma3294?3=83:p(?ll:31g?Mb0=2Bo:<5+2949`g`<,8on6994i0g3>5<5<5<55;294~"5jj09?i5Gd678La063-83:7jmf:&2a`<6?<1b=h>50;9j5`7=831b=h<50;9j5`5=831d>5=50;9~fd45290?6=4?{%0ag?43>2Bo;85Gd738 7>12mhm7d?j0;29?l7b93:17d0<729q/>om522f8La123An==6*=878gfc=#9lo18:5f1d294?=n9l;1<75f1d094?=n9l91<75`29194?=zjh;h6=4::183!4ek388h6Fk749K`37<,;2=6ili;%3fa?70=2c:i=4?::k2a4<722c:i?4?::k2a6<722e94>4?::ae4b=83>1<7>t$3``>7213An<96Fk609'6=0=lkl0e42900qoo>6;291?6=8r.9nn4=3e9K`23<@m<:7)<76;fab>"6ml0?;6g>e183>>o6m80;66g>e383>>o6m:0;66a=8283>>{ei8=1<7;50;2x 7dd2;9o7Ej85:Jg24=#:1<1hoh4$0gf>4123`;n<7>5;h3f5?6=3`;n>7>5;h3f7?6=3f83?7>5;|`b5=<72=0;6=u+2ca9610<@m=>7Ej91:&1<350;9j5`7=831b>lm50;9l6=5=831vnl?>:186>5<7s-8io7<<7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xdf9;0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg95235<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wim=m50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb2==0e50z&1ff<5;m1Ch:;4He42?!4?>3nij6*>ed8230=n9l:1<75f1d394?=n9l81<75f1d194?=h:191<75rb`2f>5<3290;w)Nc?<1Ch;?4$3:5>ada3`;n<7>5;h3f5?6=3`8jo7>5;n0;7?6=3thj<:4?:483>5}#:ki1>>j4He56?Mb192.94;4kbg9'5`c=<>1b=h>50;9j5`7=831b=h<50;9j5`5=831d>5=50;9~fd6?290>6=4?{%0ag?44l2Bo;85Gd738 7>12mhm7)?je;341>o6m90;66g>e083>>o6m;0;66g>e283>>i50:0;66sma1;94?2=83:p(?ll:365?Mb0=2Bo:<5+2949`g`5<5<7>55;294~"5jj09?i5Gd678La063-83:7jmf:&2a`<3?2c:i=4?::k2a4<722c:i?4?::k2a6<722e94>4?::ae55=83?1<7>t$3``>75c3An<96Fk609'6=0=lkl0(1<729q/>om52548La123An==6*=878gfc=n9l:1<75f1d394?=n:hi1<75`29194?=zj0lo6=4::183!4ek388h6Fk749K`37<,;2=6ili;%3fa?203`;n<7>5;h3f5?6=3`;n>7>5;h3f7?6=3f83?7>5;|`:b`<72<0;6=u+2ca966b<@m=>7Ej91:&1<3:188m4c52900e42900qo7if;290?6=8r.9nn4=479K`23<@m<:7)<76;fab>o6m90;66g>e083>>o5ij0;66a=8283>>{e1o21<7;50;2x 7dd2;9o7Ej85:Jg24=#:1<1hoh4$0gf>115<5<?0Di8>;%0;2?ben2.:ih4>749j5`6=831b=h?50;9j5`4=831b=h=50;9l6=5=831vn4hn:187>5<7s-8io7<;6:Jg30=Ol?;0(?69:e`e?l7b83:17d?j1;29?l4fk3:17b<73;29?xd>n:0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg902=n9l:1<75f1d394?=n9l81<75f1d194?=h:191<75rb8d7>5<2290;w)Nc?<1Ch;?4$3:5>ada3-;ni7?85:k2a5<722c:i<4?::k2a7<722c:i>4?::m1<6<722wi5k;50;694?6|,;hh6?:9;If41>Nc>81/>585dcd8m4c72900e:188m7gd2900c?6<:188ygg?13:197>50z&1ff<5;m1Ch:;4He42?!4?>3nij6*>ed873>o6m90;66g>e083>>o6m;0;66g>e283>>i50:0;66sma9c94?3=83:p(?ll:31g?Mb0=2Bo:<5+2949`g`<,8on6<9:;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;n0;7?6=3thj4o4?:583>5}#:ki1>984He56?Mb192.94;4kbg9j5`6=831b=h?50;9j6de=831d>5=50;9~fd>3290>6=4?{%0ag?44l2Bo;85Gd738 7>12mhm7)?je;64?l7b83:17d?j1;29?l7b:3:17d?j3;29?j4?;3:17pln8483>0<729q/>om522f8La123An==6*=878gfc=#9lo1=:;4i0g3>5<5<5<54;294~"5jj098;5Gd678La063-83:7jmf:k2a5<722c:i<4?::k1ef<722e94>4?::ae2`=83?1<7>t$3``>75c3An<96Fk609'6=0=lkl0(:188m4c52900e42900qoo70;291?6=8r.9nn4=3e9K`23<@m<:7)<76;fab>"6ml0:;85f1d294?=n9l;1<75f1d094?=n9l91<75`29194?=zjh2:6=4;:183!4ek38?:6Fk749K`37<,;2=6ili;h3f4?6=3`;n=7>5;h0bg?6=3f83?7>5;|`b3d<72<0;6=u+2ca966b<@m=>7Ej91:&1<35<7s-8io7<e183>>o6m80;66g>e383>>o6m:0;66a=8283>>{ei>i1<7:50;2x 7dd2;>=7Ej85:Jg24=#:1<1hoh4i0g3>5<5<?0Di8>;%0;2?ben2.:ih4;7:k2a5<722c:i<4?::k2a7<722c:i>4?::m1<6<722wim:850;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28=>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xdf?>0;694?:1y'6ge=:=<0Di9:;If55>"50?0onk5f1d294?=n9l;1<75f2`a94?=h:191<75rb`53>5<2290;w)Nc?<1Ch;?4$3:5>ada3-;ni7:8;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;n0;7?6=3thj;<4?:483>5}#:ki1>>j4He56?Mb192.94;4kbg9'5`c=9>?0e50z&1ff<53nij6g>e183>>o6m80;66g=ab83>>i50:0;66sma7`94?3=83:p(?ll:31g?Mb0=2Bo:<5+2949`g`<,8on6994i0g3>5<5<5<55;294~"5jj09?i5Gd678La063-83:7jmf:&2a`<6?<1b=h>50;9j5`7=831b=h<50;9j5`5=831d>5=50;9~fd0c290?6=4?{%0ag?43>2Bo;85Gd738 7>12mhm7d?j0;29?l7b93:17d0<729q/>om522f8La123An==6*=878gfc=#9lo18:5f1d294?=n9l;1<75f1d094?=n9l91<75`29194?=zjh?o6=4::183!4ek388h6Fk749K`37<,;2=6ili;%3fa?70=2c:i=4?::k2a4<722c:i?4?::k2a6<722e94>4?::ae0c=83>1<7>t$3``>7213An<96Fk609'6=0=lkl0e42900qoo=5;291?6=8r.9nn4=3e9K`23<@m<:7)<76;fab>"6ml0?;6g>e183>>o6m80;66g>e383>>o6m:0;66a=8283>>{ei;<1<7;50;2x 7dd2;9o7Ej85:Jg24=#:1<1hoh4$0gf>4123`;n<7>5;h3f5?6=3`;n>7>5;h3f7?6=3f83?7>5;|`b62<72=0;6=u+2ca9610<@m=>7Ej91:&1<350;9j5`7=831b>lm50;9l6=5=831vn4kj:186>5<7s-8io7<<7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>mo0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg95235<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi54k50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>1j0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi54650;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>1?0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi55m50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>0h0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi55850;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>0=0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi55>50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>?l0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi5:o50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>?10;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi5::50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>?;0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi5;k50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>>j0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi5;650;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>>?0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi5;<50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>>90;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi58m50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>=h0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi58850;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>==0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi59o50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd><10;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi59:50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd><;0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi5>k50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>;j0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi5>650;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>;?0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi5><50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>;90;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi5?m50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>:h0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi5?850;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>:=0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi5?>50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>9l0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi5Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>910;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi5<:50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>9;0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi5n650;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>k?0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi5n<50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>k90;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi5om50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>jh0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi5o850;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>j=0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi5o>50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>il0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi5lo50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>i10;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi5l:50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>i;0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi54<50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>190;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi58>50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi5=k50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28<>7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd>8j0;684?:1y'6ge=::n0Di9:;If55>"50?0onk5+1dg950c5<5<?0Di8>;%0;2?ben2c:i=4?::k2a4<722c9mn4?::m1<6<722wi54j50;194?6|,;hh6<98;If41>Nc>81/>585dcd8 4cb2;1b5:4?::ka1?6=3f82m7>5;|`:=2<72:0;6=u+2ca9521<@m=>7Ej91:&1<36=44o3;b>5<53;294~"5jj0:;:5Gd678La063-83:7jmf:&2a`<53`3<6=44ic794?=h:0k1<75rb8:6>5<4290;w)Nc?<1Ch;?4$3:5>ada3-;ni7<4i8594?=nj<0;66a=9`83>>{e1>l1<7=50;2x 7dd28=<7Ej85:Jg24=#:1<1hoh4$0gf>7=n1>0;66gm5;29?j4>i3:17pl67883>6<729q/>om51658La123An==6*=878gfc=#9lo1>6g67;29?ld22900c?7n:188yg?0;3:1?7>50z&1ff<6?>1Ch:;4He42?!4?>3nij6*>ed81?l?02900eo;50;9l65<7s-8io7?87:Jg30=Ol?;0(?69:e`e?!7bm380e4950;9jf0<722e95l4?::a=31=8391<7>t$3``>4103An<96Fk609'6=0=lkl0(5;n0:e?6=3th2:<4?:283>5}#:ki1=:94He56?Mb192.94;4kbg9'5`c=:2c2;7>5;h`6>5<?0Di8>;%0;2?ben2.:ih4=;h;4>5<6=4<:183!4ek3;<;6Fk749K`37<,;2=6ili;%3fa?4>i51h0;66sm95;94?5=83:p(?ll:054?Mb0=2Bo:<5+2949`g`<,8on6?5f9683>>oe=3:17b<6a;29?xd><:0;6>4?:1y'6ge=9>=0Di9:;If55>"50?0onk5+1dg96>o>?3:17dl::188k7?f2900qo7769K`23<@m<:7)<76;fab>"6ml097d78:188mg3=831d>4o50;9~f<5029086=4?{%0ag?70?2Bo;85Gd738 7>12mhm7)?je;08m<1=831bn84?::m1=d<722wi5>?50;194?6|,;hh6<98;If41>Nc>81/>585dcd8 4cb2;1b5:4?::ka1?6=3f82m7>5;|`:6g<72:0;6=u+2ca9521<@m=>7Ej91:&1<36=44o3;b>5<53;294~"5jj0:;:5Gd678La063-83:7jmf:&2a`<53`3<6=44ic794?=h:0k1<75rb83e>5<4290;w)Nc?<1Ch;?4$3:5>ada3-;ni7<4i8594?=nj<0;66a=9`83>>{e1831<7=50;2x 7dd28=<7Ej85:Jg24=#:1<1hoh4$0gf>7=n1>0;66gm5;29?j4>i3:17pl61283>6<729q/>om51658La123An==6*=878gfc=#9lo1>6g67;29?ld22900c?7n:188yg?d?3:1?7>50z&1ff<6?>1Ch:;4He42?!4?>3nij6*>ed81?l?02900eo;50;9l6:180>5<7s-8io7?87:Jg30=Ol?;0(?69:e`e?!7bm380e4950;9jf0<722e95l4?::a=gd=8391<7>t$3``>4103An<96Fk609'6=0=lkl0(5;n0:e?6=3th2n84?:283>5}#:ki1=:94He56?Mb192.94;4kbg9'5`c=:2c2;7>5;h`6>5<?0Di8>;%0;2?ben2.:ih4=;h;4>5<>i51h0;66sm9`194?5=83:p(?ll:054?Mb0=2Bo:<5+2949`g`<,8on6?5f9683>>oe=3:17b<6a;29?xd>180;6>4?:1y'6ge=9>=0Di9:;If55>"50?0onk5+1dg96>o>?3:17dl::188k7?f2900qo7;f;297?6=8r.9nn4>769K`23<@m<:7)<76;fab>"6ml097d78:188mg3=831d>4o50;9~f<6c29086=4?{%0ag?70?2Bo;85Gd738 7>12mhm7)?je;08m<1=831bn84?::m1=d<722wi48<50;:94?6|,;hh6?l;;If41>Nc>81/>585dcd8 4cb2=1b:94?::k51?6=3`<=6=44i7594?=n1h0;66g6d;29?ld22900c?7n:188yg>2k3:147>50z&1ff<5j=1Ch:;4He42?!4?>3nij6*>ed87?l032900e;;50;9j23<722c=;7>5;h;b>5<>i51h0;66sm87494?>=83:p(?ll:3`7?Mb0=2Bo:<5+2949`g`<,8on695f6583>>o1=3:17d89:188m31=831b5l4?::k:`?6=3`h>6=44o3;b>5<58;294~"5jj09n95Gd678La063-83:7jmf:&2a`<33`?0;66g97;29?l?f2900e4j50;9jf0<722e95l4?::a<2g=8321<7>t$3``>7d33An<96Fk609'6=0=lkl0(5;h45>5<>o>l3:17dl::188k7?f2900qo674;29"6ml0?7d8;:188m33=831b:;4?::k53?6=3`3j6=44i8f94?=nj<0;66a=9`83>>{e01o1<7650;2x 7dd2;h?7Ej85:Jg24=#:1<1hoh4$0gf>1=n>=0;66g95;29?l012900e;950;9j=d<722c2h7>5;h`6>5<?0Di8>;%0;2?ben2.:ih4;;h47>5<>o1?3:17d7n:188mNc>81/>585dcd8 4cb2=1b:94?::k51?6=3`<=6=44i7594?=n1h0;66g6d;29?ld22900c?7n:188yg>fk3:147>50z&1ff<5j=1Ch:;4He42?!4?>3nij6*>ed87?l032900e;;50;9j23<722c=;7>5;h;b>5<>i51h0;66sm8c494?>=83:p(?ll:3`7?Mb0=2Bo:<5+2949`g`<,8on695f6583>>o1=3:17d89:188m31=831b5l4?::k:`?6=3`h>6=44o3;b>5<58;294~"5jj09n95Gd678La063-83:7jmf:&2a`<33`?0;66g97;29?l?f2900e4j50;9jf0<722e95l4?::at$3``>75c3An<96Fk609'6=0=lkl0(1<729q/>om52578La123An==6*=878gfc=n1j0;66gm9;29?l4fk3:17b<<6;29?xd?k:0;6>4?:1y'6ge=l>>0Di9:;If55>"50?0onk5f9b83>>o5;<0;66a=8283>>{e0ko1<7;50;2x 7dd2;9o7Ej85:Jg24=#:1<1hoh4$0gf>3c5<5<?0Di8>;%0;2?ben2.:ih4>6c9j5`6=831b=h?50;9j5`4=831b=h=50;9l6=5=831vn5l<:187>5<7s-8io7<;5:Jg30=Ol?;0(?69:e`e?l?d2900eo750;9j6de=831d>>850;9~f=d>29086=4?{%0ag?b0<2Bo;85Gd738 7>12mhm7d7l:188m7522900c?6<:188yg>e<3:197>50z&1ff<5;m1Ch:;4He42?!4?>3nij6*>ed85a>o6m90;66g>e083>>o6m;0;66g>e283>>i50:0;66sm8`f94?3=83:p(?ll:31g?Mb0=2Bo:<5+2949`g`<,8on6<8m;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;n0;7?6=3th3m44?:583>5}#:ki1>9;4He56?Mb192.94;4kbg9j=f<722ci57>5;h0bg?6=3f88:7>5;|`;ec<72:0;6=u+2ca9`22<@m=>7Ej91:&1<34?::at$3``>75c3An<96Fk609'6=0=lkl0(:188m4c52900e42900qo6n3;291?6=8r.9nn4=3e9K`23<@m<:7)<76;fab>"6ml0::o5f1d294?=n9l;1<75f1d094?=n9l91<75`29194?=zj13m6=4;:183!4ek38?96Fk749K`37<,;2=6ili;h;`>5<5<4290;w)Nc?<1Ch;?4$3:5>ada3`3h6=44i316>5<?0Di8>;%0;2?ben2.:ih49e:k2a5<722c:i<4?::k2a7<722c:i>4?::m1<6<722wi44750;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb28"50?0onk5f9b83>>oe13:17d3:17pl79c83>6<729q/>om5d668La123An==6*=878gfc=n1j0;66g=3483>>i50:0;66sm88494?3=83:p(?ll:31g?Mb0=2Bo:<5+2949`g`<,8on6;k4i0g3>5<5<5<55;294~"5jj09?i5Gd678La063-83:7jmf:&2a`<6>k1b=h>50;9j5`7=831b=h<50;9j5`5=831d>5=50;9~f=>e290?6=4?{%0ag?43=2Bo;85Gd738 7>12mhm7d7l:188mg?=831b>lm50;9l660=831vn57>:180>5<7s-8io7j84:Jg30=Ol?;0(?69:e`e?l?d2900e?=::188k7>42900qo67c;291?6=8r.9nn4=3e9K`23<@m<:7)<76;fab>"6ml0=i6g>e183>>o6m80;66g>e383>>o6m:0;66a=8283>>{e01?1<7;50;2x 7dd2;9o7Ej85:Jg24=#:1<1hoh4$0gf>40e3`;n<7>5;h3f5?6=3`;n>7>5;h3f7?6=3f83?7>5;|`;<4<72=0;6=u+2ca9613<@m=>7Ej91:&1<35;n002?6=3th34:4?:283>5}#:ki1h::4He56?Mb192.94;4kbg9j=f<722c9?84?::m1<6<722wi45<50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb2?o0e0j3:197>50z&1ff<5;m1Ch:;4He42?!4?>3nij6*>ed822g=n9l:1<75f1d394?=n9l81<75f1d194?=h:191<75rb954>5<3290;w)Nc?<1Ch;?4$3:5>ada3`3h6=44ic;94?=n:hi1<75`22494?=zj1=o6=4<:183!4ek3n<86Fk749K`37<,;2=6ili;h;`>5<6=44o3:0>5<55;294~"5jj09?i5Gd678La063-83:7jmf:&2a`<1m2c:i=4?::k2a4<722c:i?4?::k2a6<722e94>4?::a<27=83?1<7>t$3``>75c3An<96Fk609'6=0=lkl0(1<729q/>om52578La123An==6*=878gfc=n1j0;66gm9;29?l4fk3:17b<<6;29?xd??:0;6>4?:1y'6ge=l>>0Di9:;If55>"50?0onk5f9b83>>o5;<0;66a=8283>>{e0?o1<7;50;2x 7dd2;9o7Ej85:Jg24=#:1<1hoh4$0gf>3c5<5<?0Di8>;%0;2?ben2.:ih4>6c9j5`6=831b=h?50;9j5`4=831b=h=50;9l6=5=831vn58<:187>5<7s-8io7<;5:Jg30=Ol?;0(?69:e`e?l?d2900eo750;9j6de=831d>>850;9~f=0>29086=4?{%0ag?b0<2Bo;85Gd738 7>12mhm7d7l:188m7522900c?6<:188yg>1<3:197>50z&1ff<5;m1Ch:;4He42?!4?>3nij6*>ed85a>o6m90;66g>e083>>o6m;0;66g>e283>>i50:0;66sm84f94?3=83:p(?ll:31g?Mb0=2Bo:<5+2949`g`<,8on6<8m;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;n0;7?6=3th3944?:583>5}#:ki1>9;4He56?Mb192.94;4kbg9j=f<722ci57>5;h0bg?6=3f88:7>5;|`;1c<72:0;6=u+2ca9`22<@m=>7Ej91:&1<34?::a<0g=83?1<7>t$3``>75c3An<96Fk609'6=0=lkl0(:188m4c52900e42900qo6:3;291?6=8r.9nn4=3e9K`23<@m<:7)<76;fab>"6ml0::o5f1d294?=n9l;1<75f1d094?=n9l91<75`29194?=zj1>m6=4;:183!4ek38?96Fk749K`37<,;2=6ili;h;`>5<5<4290;w)Nc?<1Ch;?4$3:5>ada3`3h6=44i316>5<?0Di8>;%0;2?ben2.:ih49e:k2a5<722c:i<4?::k2a7<722c:i>4?::m1<6<722wi4n<50;794?6|,;hh6<9k;If41>Nc>81/>585dcd8 4cb2;>0e4950;9j=d<722ci97>5;h0bg?6=3f82m7>5;|`;fc<72=0;6=u+2ca952><@m=>7Ej91:&1<35<2290;w)Nc?<1Ch;?4$3:5>ada3-;ni7<;;h;4>5<>o5ij0;66a=9`83>>{e0k?1<7:50;2x 7dd28=37Ej85:Jg24=#:1<1hoh4$0gf>7=n1>0;66g6a;29?ld22900c?7n:188yg>fm3:197>50z&1ff<6?m1Ch:;4He42?!4?>3nij6*>ed810>o>?3:17d7n:188mg3=831b>lm50;9l65<7s-8io7?88:Jg30=Ol?;0(?69:e`e?!7bm380e4950;9j=d<722ci97>5;n0:e?6=3th3m94?:483>5}#:ki1=:j4He56?Mb192.94;4kbg9'5`c=:=1b5:4?::k:e?6=3`h>6=44i3c`>5<?0Di8>;%0;2?ben2.:ih4=;h;4>5<>i51h0;66sm88c94?3=83:p(?ll:05g?Mb0=2Bo:<5+2949`g`<,8on6?:4i8594?=n1h0;66gm5;29?l4fk3:17b<6a;29?xd?1>0;694?:1y'6ge=9>20Di9:;If55>"50?0onk5+1dg96>o>?3:17d7n:188mg3=831d>4o50;9~f=?7290>6=4?{%0ag?70l2Bo;85Gd738 7>12mhm7)?je;07?l?02900e4o50;9jf0<722c9mn4?::m1=d<722wi45j50;694?6|,;hh6<97;If41>Nc>81/>585dcd8 4cb2;1b5:4?::k:e?6=3`h>6=44o3;b>5<55;294~"5jj0:;i5Gd678La063-83:7jmf:&2a`<5<2c2;7>5;h;b>5<5<3290;w)Nc?<1Ch;?4$3:5>ada3-;ni7<4i8594?=n1h0;66gm5;29?j4>i3:17pl77b83>0<729q/>om516f8La123An==6*=878gfc=#9lo1>95f9683>>o>i3:17dl::188m7gd2900c?7n:188yg>013:187>50z&1ff<6?11Ch:;4He42?!4?>3nij6*>ed81?l?02900e4o50;9jf0<722e95l4?::a<24=83?1<7>t$3``>41c3An<96Fk609'6=0=lkl0(5;n0:e?6=3th3:k4?:583>5}#:ki1=:64He56?Mb192.94;4kbg9'5`c=:2c2;7>5;h;b>5<>i51h0;66sm87794?2=83:p(?ll:05;?Mb0=2Bo:<5+2949`g`<,8on6?5f9683>>o>i3:17dl::188k7?f2900qo6:e;291?6=8r.9nn4>7e9K`23<@m<:7)<76;fab>"6ml0986g67;29?l?f2900eo;50;9j6de=831d>4o50;9~f=3e290?6=4?{%0ag?7002Bo;85Gd738 7>12mhm7)?je;08m<1=831b5l4?::ka1?6=3f82m7>5;|`;11<72<0;6=u+2ca952b<@m=>7Ej91:&1<35;h`6>5<5<=7>54;294~"5jj0:;55Gd678La063-83:7jmf:&2a`<53`3<6=44i8c94?=nj<0;66a=9`83>>{ei0i1<7:50;2x 7dd2;>>7Ej85:Jg24=#:1<1hoh4i8a94?=nj00;66g=ab83>>i5;?0;66sma8`94?2=83:p(?ll:366?Mb0=2Bo:<5+2949`g`>o5ij0;66a=3783>>{ei0k1<7:50;2x 7dd2;>>7Ej85:Jg24=#:1<1hoh4i8a94?=nj00;66g=ab83>>i5;?0;66sma8;94?2=83:p(?ll:366?Mb0=2Bo:<5+2949`g`>o5ij0;66a=3783>>{ei021<7:50;2x 7dd2;>>7Ej85:Jg24=#:1<1hoh4i8a94?=nj00;66g=ab83>>i5;?0;66sma8594?2=83:p(?ll:366?Mb0=2Bo:<5+2949`g`>o5ij0;66a=3783>>{ei0?1<7:50;2x 7dd2;>>7Ej85:Jg24=#:1<1hoh4i8a94?=nj00;66g=ab83>>i5;?0;66smc3d94?5=83:p(?ll:367?Mb0=2Bo:<5+2949`g`5<?0Di8>;%0;2?ben2c2o7>5;h`:>5<5<54;294~"5jj09885Gd678La063-83:7jmf:k:g?6=3`h26=44i3c`>5<?0Di8>;%0;2?ben2c2o7>5;h`:>5<5<55;294~"5jj09?i5Gd678La063-83:7jmf:&2a`<6i2c:i=4?::k2a4<722c:i?4?::k2a6<722e94>4?::ag6>=83>1<7>t$3``>75d3An<96Fk609'6=0=lkl0(:188m4c52900c?6<:188yge5;3:187>50z&1ff<5<<1Ch:;4He42?!4?>3nij6g6c;29?ld>2900e?ol:188k7512900qom:1;290?6=8r.9nn4=449K`23<@m<:7)<76;fab>o>k3:17dl6:188m7gd2900c?=9:188yge3?3:187>50z&1ff<5<<1Ch:;4He42?!4?>3nij6g6c;29?ld>2900e?ol:188k7512900qom:0;290?6=8r.9nn4=449K`23<@m<:7)<76;fab>o>k3:17dl6:188m7gd2900c?=9:188yge4:3:187>50z&1ff<5<<1Ch:;4He42?!4?>3nij6g6c;29?ld>2900e?ol:188k7512900qom;0;291?6=8r.9nn4=3e9K`23<@m<:7)<76;fab>"6ml0>j6g>e183>>o6m80;66g>e383>>o6m:0;66a=8283>>{ek:h1<7;50;2x 7dd2;9o7Ej85:Jg24=#:1<1hoh4$0gf>0`5<5<?0Di8>;%0;2?ben2.:ih4>e:k2a5<722c:i<4?::k2a7<722c:i>4?::m1<6<722wio>;50;794?6|,;hh6?=k;If41>Nc>81/>585dcd8 4cb2:?0e50z&1ff<5;m1Ch:;4He42?!4?>3nij6*>ed86b>o6m90;66g>e083>>o6m;0;66g>e283>>i50:0;66smc2594?2=83:p(?ll:31`?Mb0=2Bo:<5+2949`g`<,8on6?>4i0g3>5<5<?0Di8>;%0;2?ben2.:ih4:f:k2a5<722c:i<4?::k2a7<722c:i>4?::m1<6<722wio>?50;694?6|,;hh6?::;If41>Nc>81/>585dcd8m1<7>t$3``>7223An<96Fk609'6=0=lkl0e4m50;9jf<<722c9mn4?::m173<722wio9850;694?6|,;hh6?::;If41>Nc>81/>585dcd8mt$3``>75c3An<96Fk609'6=0=lkl0(:188m4c52900e42900qom"6ml0>h6g>e183>>o6m80;66g>e383>>o6m:0;66a=8283>>{ek=;1<7:50;2x 7dd2;9h7Ej85:Jg24=#:1<1hoh4$0gf>35<,;3<6io;;h3f4?6=3`;n=7>5;h3f6?6=3f83?7>5;|``7a<72=0;6=u+2ca966e<@m=>7Ej91:&1<35=50;9~ff2a290?6=4?{%0ag?43=2Bo;85Gd738 7>12mhm7d7l:188mg?=831b>lm50;9l660=831vnn:l:186>5<7s-8io7<"50?0onk5+1dg95`=n9l:1<75f1d394?=n9l81<75f1d194?=h:191<75rbb77>5<2290;w)Nc?<1Ch;?4$3:5>ada3-;ni7?>;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;n0;7?6=3thh9>4?:483>5}#:ki1>>j4He56?Mb192.94;4kbg9'5`c=9<1b=h>50;9j5`7=831b=h<50;9j5`5=831d>5=50;9~ff2e290?6=4?{%0ag?44k2Bo;85Gd738 7>12mhm7)?je;;1?l7b83:17d?j1;29?l7b:3:17b<73;29?xdd"50?0onk5+1dg9=7=n9l:1<75f1d394?=n9l81<75`29194?=zjj9;6=4;:183!4ek38?96Fk749K`37<,;2=6ili;h;`>5<5<3290;w)Nc?<1Ch;?4$3:5>ada3`3h6=44ic;94?=n:hi1<75`22494?=zjj?96=4;:183!4ek38?96Fk749K`37<,;2=6ili;h;`>5<5<2290;w)Nc?<1Ch;?4$3:5>ada3-;ni7?81:k2a5<722c:i<4?::k2a7<722c:i>4?::m1<6<722wio9750;694?6|,;hh6?::;If41>Nc>81/>585dcd8mt$3``>41e3An<96Fk609'6=0=lkl0(5;h`6>5<5<55;294~"5jj0:;i5Gd678La063-83:7jmf:&2a`<5<2c2;7>5;h;b>5<5<2290;w)Nc?<1Ch;?4$3:5>ada3-;ni7<4i8594?=n1h0;66gm5;29?l4>j3:17b<6a;29?xdd<=0;684?:1y'6ge=9>h0Di9:;If55>"50?0onk5+1dg96>o>?3:17d7n:188mg3=831b>4l50;9l65<7s-8io7?8b:Jg30=Ol?;0(?69:e`e?!7bm380e4950;9j=d<722ci97>5;h0:f?6=3f82m7>5;|``6<<72<0;6=u+2ca952d<@m=>7Ej91:&1<3>oe=3:17d<6b;29?j4>i3:17pll2383>0<729q/>om516`8La123An==6*=878gfc=#9lo1>6g67;29?l?f2900eo;50;9j64o50;9~ff42290>6=4?{%0ag?70j2Bo;85Gd738 7>12mhm7)?je;08m<1=831b5l4?::ka1?6=3`82n7>5;n0:e?6=3thh>94?:483>5}#:ki1=:l4He56?Mb192.94;4kbg9'5`c=:2c2;7>5;h;b>5<5<2290;w)Nc?<1Ch;?4i8594?=n1h0;66gm5;29?l4>j3:17b<6a;29?xde?10;684?:1y'6ge=9>h0Di9:;If55>o>?3:17d7n:188mg3=831b>4l50;9l65<7s-8io7?8b:Jg30=Ol?;0e4950;9j=d<722ci97>5;h0:f?6=3f82m7>5;|``64<72<0;6=u+2ca952d<@m=>7Ej91:k:3?6=3`3j6=44ic794?=n:0h1<75`28c94?=zjjlo6=4::183!4ek3;>oe=3:17d<6b;29?j4>i3:17pll1883>0<729q/>om516`8La123An==6g67;29?l?f2900eo;50;9j64o50;9~ff7f290>6=4?{%0ag?70j2Bo;85Gd738m<1=831b5l4?::ka1?6=3`82n7>5;n0:e?6=3thhih4?:483>5}#:ki1=:l4He56?Mb192c2;7>5;h;b>5<5<2290;w)Nc?<1Ch;?4i8594?=n1h0;66gm5;29?l4>j3:17b<6a;29?xddn90;684?:1y'6ge=9>h0Di9:;If55>o>?3:17d7n:188mg3=831b>4l50;9l6:186>5<7s-8io7?8b:Jg30=Ol?;0e4950;9j=d<722ci97>5;h0:f?6=3f82m7>5;|``b7<72<0;6=u+2ca952d<@m=>7Ej91:k:3?6=3`3j6=44ic794?=n:0h1<75`28c94?=zjjkn6=4::183!4ek3;>oe=3:17d<6b;29?j4>i3:17plm9383>0<729q/>om516`8La123An==6g67;29?l?f2900eo;50;9j64o50;9~fg?4290>6=4?{%0ag?70j2Bo;85Gd738m<1=831b5l4?::ka1?6=3`82n7>5;n0:e?6=3thi;i4?:483>5}#:ki1=:l4He56?Mb192c2;7>5;h;b>5<5<2290;w)Nc?<1Ch;?4i8594?=n1h0;66gm5;29?l4>j3:17b<6a;29?xdd9l0;684?:1y'6ge=9>h0Di9:;If55>o>?3:17d7n:188mg3=831b>4l50;9l65<7s-8io7?8b:Jg30=Ol?;0e4950;9j=d<722ci97>5;h0:f?6=3f82m7>5;|``5f<72<0;6=u+2ca952d<@m=>7Ej91:k:3?6=3`3j6=44ic794?=n:0h1<75`28c94?=zjjo36=4::183!4ek3;>oe=3:17d<6b;29?j4>i3:17pll1e83>0<729q/>om516`8La123An==6g67;29?l?f2900eo;50;9j64o50;9~ff14290>6=4?{%0ag?70j2Bo;85Gd738m<1=831b5l4?::ka1?6=3`82n7>5;n0:e?6=3thh::4?:483>5}#:ki1=:l4He56?Mb192c2;7>5;h;b>5<5<2290;w)Nc?<1Ch;?4i8594?=n1h0;66gm5;29?l4>j3:17b<6a;29?xddl=0;684?:1y'6ge=9>h0Di9:;If55>o>?3:17d7n:188mg3=831b>4l50;9l65<7s-8io7?8b:Jg30=Ol?;0e4950;9j=d<722ci97>5;h0:f?6=3f82m7>5;|``e1<72<0;6=u+2ca952d<@m=>7Ej91:k:3?6=3`3j6=44ic794?=n:0h1<75`28c94?=zjjk=6=4::183!4ek3;>oe=3:17d<6b;29?j4>i3:17pll1c83>0<729q/>om516`8La123An==6g67;29?l?f2900eo;50;9j64o50;9~ffge290>6=4?{%0ag?70j2Bo;85Gd738m<1=831b5l4?::ka1?6=3`82n7>5;n0:e?6=3thh9o4?:483>5}#:ki1=:l4He56?Mb192c2;7>5;h;b>5<5<3290;w)Nc?<1Ch;?4i8594?=nj<0;66g=9c83>>i51h0;66smbc494?2=83:p(?ll:05b?Mb0=2Bo:<5+1dg96>o>?3:17dl::188m7?e2900c?7n:188yge1=3:187>50z&1ff<6?h1Ch:;4He42?l?02900eo;50;9j64o50;9~ffb629086=4?{%0ag?70?2Bo;85Gd738 4cb2;1b5:4?::ka1?6=3f82m7>5;|`a`f<7210;6=u+2ca96g2<@m=>7Ej91:&1<36=44i7494?=n>>0;66g6a;29?l?c2900eo;50;9l65<7s-8io70e;:50;9j20<722c=:7>5;h44>5<>oe=3:17b<6a;29?xden;0;654?:1y'6ge=:k>0Di9:;If55>"50?0onk5+1dg90>o1<3:17d8::188m30=831b::4?::k:e?6=3`3o6=44ic794?=h:0k1<75rbcd6>5Nc?<1Ch;?4$3:5>ada3-;ni7:4i7694?=n><0;66g96;29?l002900e4o50;9j=a<722ci97>5;n0:e?6=3thij;4?:983>5}#:ki1>o:4He56?Mb192.94;4kbg9'5`c=<2c=87>5;h46>5<>o>i3:17d7k:188mg3=831d>4o50;9~fg`029036=4?{%0ag?4e<2Bo;85Gd738 7>12mhm7)?je;68m32=831b:84?::k52?6=3`<<6=44i8c94?=n1m0;66gm5;29?j4>i3:17plmf983>=<729q/>om52c68La123An==6*=878gfc=#9lo186g94;29?l022900e;850;9j22<722c2m7>5;h;g>5<1<75f6483>>o1>3:17d88:188m5;|`abd<7210;6=u+2ca96g2<@m=>7Ej91:&1<36=44i7494?=n>>0;66g6a;29?l?c2900eo;50;9l65<7s-8io70e;:50;9j20<722c=:7>5;h44>5<>oe=3:17b<6a;29?xdelm0;654?:1y'6ge=:k>0Di9:;If55>"50?0onk5+1dg90>o1<3:17d8::188m30=831b::4?::k:e?6=3`3o6=44ic794?=h:0k1<75rbcff>5Nc?<1Ch;?4$3:5>ada3-;ni7:4i7694?=n><0;66g96;29?l002900e4o50;9j=a<722ci97>5;n0:e?6=3thihk4?:983>5}#:ki1>o:4He56?Mb192.94;4kbg9'5`c=<2c=87>5;h46>5<>o>i3:17d7k:188mg3=831d>4o50;9~fgc729036=4?{%0ag?4e<2Bo;85Gd738 7>12mhm7)?je;68m32=831b:84?::k52?6=3`<<6=44i8c94?=n1m0;66gm5;29?j4>i3:17plme083>=<729q/>om52c68La123An==6*=878gfc=#9lo186g94;29?l022900e;850;9j22<722c2m7>5;h;g>5<1<75f6483>>o1>3:17d88:188m5;|`aa6<7210;6=u+2ca96g2<@m=>7Ej91:&1<36=44i7494?=n>>0;66g6a;29?l?c2900eo;50;9l65<7s-8io70e;:50;9j20<722c=:7>5;h44>5<>oe=3:17b<6a;29?xdem<0;654?:1y'6ge=:k>0Di9:;If55>"50?0onk5+1dg90>o1<3:17d8::188m30=831b::4?::k:e?6=3`3o6=44ic794?=h:0k1<75rbcg5>5Nc?<1Ch;?4$3:5>ada3-;ni7:4i7694?=n><0;66g96;29?l002900e4o50;9j=a<722ci97>5;n0:e?6=3thii54?:983>5}#:ki1>o:4He56?Mb192.94;4kbg9'5`c=<2c=87>5;h46>5<>o>i3:17d7k:188mg3=831d>4o50;9~fgc>29036=4?{%0ag?4e<2Bo;85Gd738 7>12mhm7)?je;68m32=831b:84?::k52?6=3`<<6=44i8c94?=n1m0;66gm5;29?j4>i3:17plme`83>=<729q/>om52c68La123An==6*=878gfc=#9lo186g94;29?l022900e;850;9j22<722c2m7>5;h;g>5<1<75f6483>>o1>3:17d88:188m5;|`aaf<7210;6=u+2ca96g2<@m=>7Ej91:&1<36=44i7494?=n>>0;66g6a;29?l?c2900eo;50;9l65<7s-8io70e;:50;9j20<722c=:7>5;h44>5<>oe=3:17b<6a;29?xdeml0;654?:1y'6ge=:k>0Di9:;If55>"50?0onk5+1dg90>o1<3:17d8::188m30=831b::4?::k:e?6=3`3o6=44ic794?=h:0k1<75rbcge>5Nc?<1Ch;?4$3:5>ada3-;ni7:4i7694?=n><0;66g96;29?l002900e4o50;9j=a<722ci97>5;n0:e?6=3thij=4?:983>5}#:ki1>o:4He56?Mb192.94;4kbg9'5`c=<2c=87>5;h46>5<>o>i3:17d7k:188mg3=831d>4o50;9~fg`629036=4?{%0ag?4e<2Bo;85Gd738 7>12mhm7)?je;68m32=831b:84?::k52?6=3`<<6=44i8c94?=n1m0;66gm5;29?j4>i3:17plmf283>=<729q/>om52c68La123An==6*=878gfc=#9lo186g94;29?l022900e;850;9j22<722c2m7>5;h;g>5<1<75f6483>>o1>3:17d88:188m5;|`a`0<72:0;6=u+2ca9`22<@m=>7Ej91:&1<34?::afa>=8391<7>t$3``>a133An<96Fk609'6=0=lkl0e4m50;9j663=831d>5=50;9~fgb0290?6=4?{%0ag?43=2Bo;85Gd738 7>12mhm7d7l:188mg?=831b>lm50;9l660=831vnoj;:187>5<7s-8io7<;5:Jg30=Ol?;0(?69:e`e?l?d2900eo750;9j6de=831d>>850;9~fgbe29086=4?{%0ag?b0<2Bo;85Gd738 7>12mhm7d7l:188m7522900c?6<:188ygdci3:187>50z&1ff<5<<1Ch:;4He42?!4?>3nij6g6c;29?ld>2900e?ol:188k7512900qolif;290?6=8r.9nn4>7`9K`23<@m<:7)<76;fab>"6ml097d78:188mg3=831b>4l50;9l65<7s-8io7?8c:Jg30=Ol?;0(?69:e`e?!7bm38?7d78:188mg3=831b>lm50;9l65<7s-8io7?8c:Jg30=Ol?;0(?69:e`e?!7bm38?7d78:188mg3=831b>lm50;9l65<7s-8io7?8c:Jg30=Ol?;0(?69:e`e?!7bm38?7d78:188mg3=831b>lm50;9l65<7s-8io7<;0:Jg30=Ol?;0(?69:e`e?!7bm3?27d?j0;29?l7b93:17d?j2;29?l7b;3:17d?j4;29?l7b=3:17b<75;29?j4?<3:17pl:eb83>=<729q/>om52528La123An==6*=878gfc=#9lo1:=5f1d294?=n9l;1<75f1d094?=n9l91<75f1d694?=n9l?1<75`29794?=h:1>1<75rb4gg>5Nc?<1Ch;?4$3:5>ada3-;ni7<<;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;h3f0?6=3`;n97>5;n0;1?6=3f8387>5;|`541<7210;6=u+2ca9616<@m=>7Ej91:&1<3:188m4c52900e22900c?6;:188yg07;3:147>50z&1ff<5<91Ch:;4He42?!4?>3nij6*>ed823c=n9l:1<75f1d394?=n9l81<75f1d194?=n9l>1<75f1d794?=h:1?1<75`29694?=zj?:96=47:183!4ek38?<6Fk749K`37<,;2=6ili;%3fa?70n2c:i=4?::k2a4<722c:i?4?::k2a6<722c:i94?::k2a0<722e9484?::m1<1<722wi:=?50;:94?6|,;hh6?:?;If41>Nc>81/>585dcd8 4cb28=m7d?j0;29?l7b93:17d?j2;29?l7b;3:17d?j4;29?l7b=3:17b<75;29?j4?<3:17pl90483>=<729q/>om52528La123An==6*=878gfc=#9lo1>45f1d294?=n9l;1<75f1d094?=n9l91<75f1d694?=n9l?1<75`29794?=h:1>1<75rb723>5Nc?<1Ch;?4$3:5>ada3-;ni7?i;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;h3f0?6=3`;n97>5;n0;1?6=3f8387>5;|`6ac<7210;6=u+2ca9616<@m=>7Ej91:&1<35:50;9~f0cb29036=4?{%0ag?4382Bo;85Gd738 7>12mhm7)?je;1b?l7b83:17d?j1;29?l7b:3:17d?j3;29?l7b<3:17d?j5;29?j4?=3:17b<74;29?xd2n>0;654?:1y'6ge=:=:0Di9:;If55>"50?0onk5+1dg952`5<5<5<6=44o3:7>5<58;294~"5jj098=5Gd678La063-83:7jmf:&2a`<6?o1b=h>50;9j5`7=831b=h<50;9j5`5=831b=h:50;9j5`3=831d>5;50;9l6=2=831vn8h::18;>5<7s-8io7<;0:Jg30=Ol?;0(?69:e`e?!7bm3;e183>>o6m80;66g>e383>>o6m:0;66g>e583>>o6m<0;66a=8483>>i50=0;66sm5g694?>=83:p(?ll:363?Mb0=2Bo:<5+2949`g`<,8on6<9i;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;h3f0?6=3`;n97>5;n0;1?6=3f8387>5;|`6b6<7210;6=u+2ca9616<@m=>7Ej91:&1<3:188m4c52900e22900c?6;:188yg3a:3:147>50z&1ff<5<91Ch:;4He42?!4?>3nij6*>ed823c=n9l:1<75f1d394?=n9l81<75f1d194?=n9l>1<75f1d794?=h:1?1<75`29694?=zj50;:94?6|,;hh6?:?;If41>Nc>81/>585dcd8 4cb28=m7d?j0;29?l7b93:17d?j2;29?l7b;3:17d?j4;29?l7b=3:17b<75;29?j4?<3:17pl:fg83>=<729q/>om52528La123An==6*=878gfc=#9lo1=:h4i0g3>5<5<5<6=44o3:6>5<?0Di8>;%0;2?ben2.:ih4>7g9j5`6=831b=h?50;9j5`4=831b=h=50;9j5`2=831b=h;50;9l6=3=831d>5:50;9~f0`c29036=4?{%0ag?4382Bo;85Gd738 7>12mhm7)?je;34b>o6m90;66g>e083>>o6m;0;66g>e283>>o6m=0;66g>e483>>i50<0;66a=8583>>{e=oi1<7650;2x 7dd2;>;7Ej85:Jg24=#:1<1hoh4$0gf>41a3`;n<7>5;h3f5?6=3`;n>7>5;h3f7?6=3`;n87>5;h3f1?6=3f8397>5;n0;0?6=3th>jo4?:983>5}#:ki1>9>4He56?Mb192.94;4kbg9'5`c=9>l0e32900qo;ia;29"6ml0:;k5f1d294?=n9l;1<75f1d094?=n9l91<75f1d694?=n9l?1<75`29794?=h:1>1<75rb4d:>5Nc?<1Ch;?4$3:5>ada3-;ni7?8f:k2a5<722c:i<4?::k2a7<722c:i>4?::k2a1<722c:i84?::m1<0<722e9494?::a1c>=8321<7>t$3``>7273An<96Fk609'6=0=lkl0(i0Di9:;If55>o>?3:17dl::188m7gd2900c?7n:188yg52i3:187>50z&1ff<6?h1Ch:;4He42?l?02900eo;50;9j64o50;9~f63?290?6=4?{%0ag?70i2Bo;85Gd738m<1=831bn84?::k1=g<722e95l4?::a7=?=83>1<7>t$3``>75d3An<96Fk609'5`c=>01b=h>50;9j5`7=831b=h<50;9l6=5=831vn>o?:180>5<7s-8io7<:188k7>42900qo;8b;292?6=8r.9nn4=3d9K`23<@m<:7)?je;02?!4>?3nj:6g>e183>>o6m80;66g>e383>>o6m:0;66g>e583>>i50:0;66sm56694?1=83:p(?ll:31e?Mb0=2Bo:<5+1dg953=n9l:1<75f1d394?=n9l81<75f1d194?=n9l>1<75f1d794?=h:191<75rb45;>5<1290;w)Nc?<1Ch;?4$0gf>77<,;3<6io:;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;h3f0?6=3f83?7>5;|`632<72?0;6=u+2ca966c<@m=>7Ej91:&2a`4?::k2a1<722e94>4?::a12g=83<1<7>t$3``>75b3An<96Fk609'5`c=<;1/>495d`38m4c72900e:188m4c52900e50z&1ff<5;l1Ch:;4He42?!7bm38:7)<67;fb5>o6m90;66g>e083>>o6m;0;66g>e283>>o6m=0;66a=8283>>{e=>i1<7850;2x 7dd2;9n7Ej85:Jg24=#9lo1i6*=968ge3=n9l:1<75f1d394?=n9l81<75f1d194?=n9l>1<75`29194?=zj:i=6=49:183!4ek388i6Fk749K`37<,8on69<4$3;4>a>33`;n<7>5;h3f5?6=3`;n>7>5;h3f7?6=3`;n87>5;n0;7?6=3th8o94?:583>5}#:ki1>>m4He56?Mb192.:ih4=5:&1=250;9j5`7=831b=h<50;9l6=5=831vn89k:180>5<7s-8io7<2<729q/>om522d8La123An==6*>ed823`=n9l:1<75f1d394?=n9l81<75f1d194?=n9l>1<75f1d794?=h:191<75rb2ff>5<0290;w)Nc?<1Ch;?4$0gf>41b3`;n<7>5;h3f5?6=3`;n>7>5;h3f7?6=3`;n87>5;h3f1?6=3f83?7>5;|`0`=<72>0;6=u+2ca966`<@m=>7Ej91:&2a`<61>1b=h>50;9j5`7=831b=h<50;9j5`5=831b=h:50;9j5`3=831d>5=50;9~f1ga290<6=4?{%0ag?44n2Bo;85Gd738 4cb28<0e50z&1ff<5;m1Ch:;4He42?!7bm3>=7)<67;fb=>o6m90;66g>e083>>o6m;0;66g>e283>>i50:0;66sm53794?3=83:p(?ll:31g?Mb0=2Bo:<5+1dg906=#:0=1hl74i0g3>5<5<5<?>7>57;294~"5jj09?k5Gd678La063-;ni7?8e:k2a5<722c:i<4?::k2a7<722c:i>4?::k2a1<722c:i84?::m1<6<722wi89:50;594?6|,;hh6?=i;If41>Nc>81/=hk5609j5`6=831b=h?50;9j5`4=831b=h=50;9j5`2=831b=h;50;9l6=5=831vn8:m:180>5<7s-8io7<"6ml0:;95f1d294?=n9l;1<75f1d094?=n9l91<75f1d694?=n9l?1<75`29194?=zj>??6=48:183!4ek388j6Fk749K`37<,8on6474i0g3>5<5<5<6=44o3:0>5<57;294~"5jj09?k5Gd678La063-;ni776;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;h3f0?6=3`;n97>5;n0;7?6=3th5}#:ki1>>l4He56?Mb192.:ih4:c:k2a5<722c:i<4?::m1<6<722wi;lj50;194?6|,;hh6?=m;If41>Nc>81/=hk55b9j5`6=831b=h?50;9l6=5=831vn:jk:187>5<7s-8io7<:188m4c52900c?6<:188yg1a;3:1;7>50z&1ff<5;o1Ch:;4He42?!7bm3327d?j0;29?l7b93:17d?j2;29?l7b;3:17d?j4;29?l7b=3:17b<73;29?xd0n;0;6>4?:1y'6ge=::h0Di9:;If55>"6ml08?6g>e183>>o6m80;66a=8283>>{e?oi1<7950;2x 7dd2;9m7Ej85:Jg24=#9lo1545f1d294?=n9l;1<75f1d094?=n9l91<75f1d694?=n9l?1<75`29194?=zj>n:6=4;:183!4ek388o6Fk749K`37<,8on6464i0g3>5<5<?0Di8>;%3fa?3d3`;n<7>5;h3f5?6=3f83?7>5;|`4f<<72:0;6=u+2ca966d<@m=>7Ej91:&2a`<2k2c:i=4?::k2a4<722e94>4?::a35?=8391<7>t$3``>75e3An<96Fk609'5`c=;:1b=h>50;9j5`7=831d>5=50;9~f370290?6=4?{%0ag?44k2Bo;85Gd738 4cb2k<0e42900qoo64;291?6=8r.9nn4=3e9K`23<@m<:7)?je;355>"51>0oml5f1d294?=n9l;1<75f1d094?=n9l91<75`29194?=zjh386=4;:183!4ek388o6Fk749K`37<,8on6>:4$3;4>a?f3`;n<7>5;h3f5?6=3`;n>7>5;n0;7?6=3th2oi4?:483>5}#:ki1>>j4He56?Mb192.:ih4;e:k2a5<722c:i<4?::k2a7<722c:i>4?::m1<6<722wi5=o50;494?6|,;hh6?=j;If41>Nc>81/=hk51748 7?02mkj7d?j0;29?l7b93:17d?j2;29?l7b;3:17d?j4;29?j4?;3:17pl60c83>1<729q/>om522a8La123An==6*>ed821c=#:0=1h4o4i0g3>5<5<?0Di8>;%3fa?7082.95:4ka59j5`6=831b=h?50;9j5`4=831d>5=50;9~f3bd29086=4?{%0ag?44j2Bo;85Gd738 4cb28?o7)<67;fb4>o6m90;66g>e083>>i50:0;66sma`:94?1=83:p(?ll:31e?Mb0=2Bo:<5+1dg9<>o6m90;66g>e083>>o6m;0;66g>e283>>o6m=0;66g>e483>>i50:0;66sma`494?1=83:p(?ll:31e?Mb0=2Bo:<5+1dg9<>o6m90;66g>e083>>o6m;0;66g>e283>>o6m=0;66g>e483>>i50:0;66sma`694?1=83:p(?ll:31e?Mb0=2Bo:<5+1dg9<>o6m90;66g>e083>>o6m;0;66g>e283>>o6m=0;66g>e483>>i50:0;66sma`094?1=83:p(?ll:31e?Mb0=2Bo:<5+1dg9<>o6m90;66g>e083>>o6m;0;66g>e283>>o6m=0;66g>e483>>i50:0;66sma`294?1=83:p(?ll:31e?Mb0=2Bo:<5+1dg9<>o6m90;66g>e083>>o6m;0;66g>e283>>o6m=0;66g>e483>>i50:0;66sma8g94?5=83:p(?ll:31a?Mb0=2Bo:<5+1dg961=n9l:1<75f1d394?=h:191<75rbba5>5<0290;w)Nc?<1Ch;?4$0gf>405<5<5<?0Di8>;%3fa?713`;n<7>5;h3f5?6=3`;n>7>5;h3f7?6=3`;n87>5;h3f1?6=3f83?7>5;|`a=g<72>0;6=u+2ca966`<@m=>7Ej91:&2a`5;h3f5?6=3`;n>7>5;h3f7?6=3`;n87>5;h3f1?6=3f83?7>5;|`a=d<72>0;6=u+2ca966`<@m=>7Ej91:&2a`5;h3f5?6=3`;n>7>5;h3f7?6=3`;n87>5;h3f1?6=3f83?7>5;|`agc<72?0;6=u+2ca966c<@m=>7Ej91:&2a`<612c:i=4?::k2a4<722c:i?4?::k2a6<722c:i94?::m1<6<722wio<850;494?6|,;hh6?=j;If41>Nc>81/=hk517f8 7?02mk<7d?j0;29?l7b93:17d?j2;29?l7b;3:17d?j4;29?j4?;3:17pllc183>0<729q/>om522f8La123An==6*>ed82<1=#:0=1hl94i0g3>5<5<5<54;294~"5jj09?n5Gd678La063-;ni7?80:&1=250;9j5`7=831b=h<50;9l6=5=831vnn7m:187>5<7s-8io7<?3n3;6g>e183>>o6m80;66g>e383>>i50:0;66smc8f94?2=83:p(?ll:31`?Mb0=2Bo:<5+1dg9526<,;3<6i68;h3f4?6=3`;n=7>5;h3f6?6=3f83?7>5;|`af6<72=0;6=u+2ca966e<@m=>7Ej91:&2a`<5=2.95:4k899j5`6=831b=h?50;9j5`4=831d>5=50;9~ff6d290<6=4?{%0ag?44n2Bo;85Gd738 4cb283=7d?j0;29?l7b93:17d?j2;29?l7b;3:17d?j4;29?l7b=3:17b<73;29?xdekl0;6:4?:1y'6ge=::l0Di9:;If55>"6ml0h7d?j0;29?l7b93:17d?j2;29?l7b;3:17d?j4;29?l7b=3:17b<73;29?xde0>0;6:4?:1y'6ge=::l0Di9:;If55>"6ml0o7d?j0;29?l7b93:17d?j2;29?l7b;3:17d?j4;29?l7b=3:17b<73;29?xdek>0;6;4?:1y'6ge=::o0Di9:;If55>"6ml0?>6*=968g1<75`29194?=zjki>6=49:183!4ek388i6Fk749K`37<,8on6??4$3;4>a>e3`;n<7>5;h3f5?6=3`;n>7>5;h3f7?6=3`;n87>5;n0;7?6=3thim84?:783>5}#:ki1>>k4He56?Mb192.:ih4>4:k2a5<722c:i<4?::k2a7<722c:i>4?::k2a1<722e94>4?::afg6=83<1<7>t$3``>75b3An<96Fk609'5`c=9>80e42900qomj0;291?6=8r.9nn4=3e9K`23<@m<:7)?je;3;6>o6m90;66g>e083>>o6m;0;66g>e283>>i50:0;66smc0694?3=83:p(?ll:31g?Mb0=2Bo:<5+1dg9532<,;3<6i6k;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;n0;7?6=3thh444?:683>5}#:ki1>>h4He56?Mb192.:ih4:1:k2a5<722c:i<4?::k2a7<722c:i>4?::k2a1<722c:i84?::m1<6<722wino:50;494?6|,;hh6?=j;If41>Nc>81/=hk5139'6<1=l0<0e42900qolm5;292?6=8r.9nn4=3d9K`23<@m<:7)?je;31?!4>?3n2:6g>e183>>o6m80;66g>e383>>o6m:0;66g>e583>>i50:0;66smc0294?2=83:p(?ll:31`?Mb0=2Bo:<5+1dg9535<,;3<6i6<;h3f4?6=3`;n=7>5;h3f6?6=3f83?7>5;|``4`<72=0;6=u+2ca966e<@m=>7Ej91:&2a`<6>91/>495d938m4c72900e:188m4c52900c?6<:188ygdd<3:187>50z&1ff<5;j1Ch:;4He42?!7bm39?7)<67;fb<>o6m90;66g>e083>>o6m;0;66a=8283>>{ejkn1<7:50;2x 7dd2;9h7Ej85:Jg24=#9lo1?95f1d294?=n9l;1<75f1d094?=h:191<75rbcc4>5<3290;w)Nc?<1Ch;?4$0gf>73<,;3<6i69;h3f4?6=3`;n=7>5;h3f6?6=3f83?7>5;|`ae=<72=0;6=u+2ca966e<@m=>7Ej91:&2a`<6>l1/>495d948m4c72900e:188m4c52900c?6<:188ygd>l3:1?7>50z&1ff<5;k1Ch:;4He42?!7bm3?h7d?j0;29?l7b93:17b<73;29?xde1j0;684?:1y'6ge=::n0Di9:;If55>"6ml0:?6*=968g<==n9l:1<75f1d394?=n9l81<75f1d194?=h:191<75rbcc5>5<1290;w)Nc?<1Ch;?4$0gf>4>f3`;n<7>5;h3f5?6=3`;n>7>5;h3f7?6=3`;n87>5;n0;7?6=3thin<4?:283>5}#:ki1>>l4He56?Mb192.:ih461:k2a5<722c:i<4?::m1<6<722wino650;194?6|,;hh6?=m;If41>Nc>81/=hk514f8 7?02m3i7d?j0;29?l7b93:17b<73;29?xddj10;6;4?:1y'6ge=::o0Di9:;If55>"6ml0j7d?j0;29?l7b93:17d?j2;29?l7b;3:17d?j4;29?j4?;3:17pllb`83>6<729q/>om522`8La123An==6*>ed810>"51>0o4n5f1d294?=n9l;1<75`29194?=zjjk:6=4<:183!4ek388n6Fk749K`37<,8on6?:4$3;4>ag73`;n<7>5;h3f5?6=3f83?7>5;|`ag<<72:0;6=u+2ca966d<@m=>7Ej91:&2a`<2k2c:i=4?::k2a4<722e94>4?::af=3=8391<7>t$3``>75e3An<96Fk609'5`c=<81/>495d9c8m4c72900e:188k7>42900qom80;297?6=8r.9nn4=3c9K`23<@m<:7)?je;7`?!4>?3n2o6g>e183>>o6m80;66a=8283>>{ekmo1<7;50;2x 7dd2;9o7Ej85:Jg24=#9lo1=5?4i0g3>5<5<5<53;294~"5jj09?o5Gd678La063-;ni7;l;h3f4?6=3`;n=7>5;n0;7?6=3thhn;4?:283>5}#:ki1>>l4He56?Mb192.:ih4:c:&1=250;9j5`7=831d>5=50;9~fg>a290<6=4?{%0ag?44n2Bo;85Gd738 4cb2o1b=h>50;9j5`7=831b=h<50;9j5`5=831b=h:50;9j5`3=831d>5=50;9~fgb529086=4?{%0ag?44j2Bo;85Gd738 4cb2<80e50z&1ff<5;k1Ch:;4He42?!7bm3?97d?j0;29?l7b93:17b<73;29?xdel80;6>4?:1y'6ge=::h0Di9:;If55>"6ml0>>6g>e183>>o6m80;66a=8283>>{ek9=1<7:50;2x 7dd2;9h7Ej85:Jg24=#9lo19l5f1d294?=n9l;1<75f1d094?=h:191<75rbb26>5<4290;w)Nc?<1Ch;?4$0gf>0e5<?0Di8>;%3fa?72l2.95:4ka39j5`6=831b=h?50;9l6=5=831vn?j::184>5<7s-8io7<6<729q/>om522`8La123An==6*>ed86g>o6m90;66g>e083>>i50:0;66sm37294?2=83:p(?ll:31`?Mb0=2Bo:<5+1dg971=#:0=1h464i0g3>5<5<?0Di8>;%3fa?533-82;7j68:k2a5<722c:i<4?::k2a7<722e94>4?::a70c=83>1<7>t$3``>75d3An<96Fk609'5`c=;=1/>495d858m4c72900e:188m4c52900c?6<:188yg52l3:187>50z&1ff<5;j1Ch:;4He42?!7bm39?7)<67;f:3>o6m90;66g>e083>>o6m;0;66a=8283>>{e?9h1<7:50;2x 7dd2;9h7Ej85:Jg24=#9lo1=8h4$3;4>a?23`;n<7>5;h3f5?6=3`;n>7>5;n0;7?6=3th<5}#:ki1>>h4He56?Mb192.:ih4>6b9j5`6=831b=h?50;9j5`4=831b=h=50;9j5`2=831b=h;50;9l6=5=831vno79:185>5<7s-8io7<3<729q/>om522g8La123An==6*>ed85e>o6m90;66g>e083>>o6m;0;66g>e283>>o6m=0;66a=8283>>{ejh81<7950;2x 7dd2;9m7Ej85:Jg24=#9lo1n6g>e183>>o6m80;66g>e383>>o6m:0;66g>e583>>o6m<0;66a=8283>>{ejh:1<7=50;2x 7dd2;9i7Ej85:Jg24=#9lo1>95f1d294?=n9l;1<75`29194?=zjk3m6=48:183!4ek388j6Fk749K`37<,8on6<6i;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;h3f0?6=3`;n97>5;n0;7?6=3thimo4?:683>5}#:ki1>>h4He56?Mb192.:ih4;4:k2a5<722c:i<4?::k2a7<722c:i>4?::k2a1<722c:i84?::m1<6<722wi>ik50;194?6|,;hh6?=m;If41>Nc>81/=hk514f8m4c72900e:188k7>42900qoo6m90;66g>e083>>o6m;0;66g>e283>>o6m=0;66g>e483>>i50:0;66sm57a94?2=83:p(?ll:05b?Mb0=2Bo:<5f9683>>oe=3:17d<6b;29?j4>i3:17pl9de83>1<729q/>om516c8La123An==6*=878gfc=#9lo1>6g67;29?ld22900e?7m:188k7?f2900qo8kf;290?6=8r.9nn4>7`9K`23<@m<:7)<76;fab>"6ml097d78:188mg3=831b>4l50;9l65<7s-8io7?8a:Jg30=Ol?;0(?69:e`e?!7bm380e4950;9jf0<722c95o4?::m1=d<722wio?950;694?6|,;hh6<97;If41>Nc>81/>585dcd8 4cb2;1b5:4?::k:e?6=3`h>6=44o3;b>5<54;294~"5jj0:;l5Gd678La063-83:7jmf:&2a`<53`3<6=44ic794?=n:0h1<75`28c94?=zjjl<6=4::183!4ek3;>o>i3:17dl::188m7?e2900c?7n:188ygeai3:187>50z&1ff<5;j1Ch:;4He42?!7bm3;=i6*=968g=c=n9l:1<75f1d394?=n9l81<75`29194?=zjk2j6=4::183!4ek3;>oe=3:17d<6b;29?j4>i3:17plm8c83>1<729q/>om522a8La123An==6*>ed822`=#:0=1h5;4i0g3>5<5<?0Di8>;h;4>5<>o51k0;66a=9`83>>{eko91<7;50;2x 7dd28=i7Ej85:Jg24=n1>0;66g6a;29?ld22900e?7m:188k7?f2900qom>f;291?6=8r.9nn4>7c9K`23<@m<:7d78:188mt$3``>41e3An<96Fk609j=2<722c2m7>5;h`6>5<5<55;294~"5jj0:;o5Gd678La063`3<6=44i8c94?=nj<0;66g=9c83>>i51h0;66smc`d94?2=83:p(?ll:05b?Mb0=2Bo:<5+1dg96>o>?3:17dl::188m7?e2900c?7n:188ygee93:187>50z&1ff<6?h1Ch:;4He42?l?02900eo;50;9j64o50;9~ffde290?6=4?{%0ag?70k2Bo;85Gd738m<1=831bn84?::k1ef<722e95l4?::ag=3=83>1<7>t$3``>41f3An<96Fk609'5`c=:2c2;7>5;h`6>5<5<54;294~"5jj0:;l5Gd678La063-;ni7<4i8594?=nj<0;66g=9c83>>i51h0;66sma8494?4=83:p(?ll:31b?Mb0=2Bo:<5+1dg976=n9l:1<75`29194?=zjj9?6=4=:183!4ek388m6Fk749K`37<,8on6>=4i0g3>5<?0Di8>;%3fa?543`;n<7>5;n0;7?6=3th?;h4?:383>5}#:ki1>>o4He56?Mb192.:ih4<3:k2a5<722e94>4?::a02`=8381<7>t$3``>75f3An<96Fk609'5`c=;:1b=h>50;9l6=5=831vn9ok:181>5<7s-8io7<7>50z&1ff<5;h1Ch:;4He42?!7bm3987d?j0;29?j4?;3:17pl;5d83>7<729q/>om522c8La123An==6*>ed807>o6m90;66a=8283>>{e<5f1d294?=h:191<75rb5:g>5<5290;w)Nc?<1Ch;?4$0gf>655<3i7>52;294~"5jj09?l5Gd678La063-;ni7=<;h3f4?6=3f83?7>5;|`721<72;0;6=u+2ca966g<@m=>7Ej91:&2a`<4;2c:i=4?::m1<6<722wi8;;50;094?6|,;hh6?=n;If41>Nc>81/=hk5329j5`6=831d>5=50;9~f1?429096=4?{%0ag?44i2Bo;85Gd738 4cb2:90e42900qo:64;296?6=8r.9nn4=3`9K`23<@m<:7)?je;10?l7b83:17b<73;29?xd3>>0;6?4?:1y'6ge=::k0Di9:;If55>"6ml08?6g>e183>>i50:0;66sm47:94?4=83:p(?ll:31b?Mb0=2Bo:<5+1dg976=n9l:1<75`29194?=zj=3=6=4=:183!4ek388m6Fk749K`37<,8on6>=4i0g3>5<?0Di8>;%3fa?543`;n<7>5;n0;7?6=3th?:l4?:383>5}#:ki1>>o4He56?Mb192.:ih4<3:k2a5<722e94>4?::a03d=8381<7>t$3``>75f3An<96Fk609'5`c=;:1b=h>50;9l6=5=831vn976:181>5<7s-8io7<i3:1>7>50z&1ff<5;h1Ch:;4He42?!7bm3987d?j0;29?j4?;3:17pl;6e83>7<729q/>om522c8La123An==6*>ed807>o6m90;66a=8283>>{e5f1d294?=h:191<75rb5;`>5<5290;w)Nc?<1Ch;?4$0gf>655<2h7>52;294~"5jj09?l5Gd678La063-;ni7=<;h3f4?6=3f83?7>5;|`735<72;0;6=u+2ca966g<@m=>7Ej91:&2a`<4;2c:i=4?::m1<6<722wi8:?50;094?6|,;hh6?=n;If41>Nc>81/=hk5329j5`6=831d>5=50;9~f1?a29096=4?{%0ag?44i2Bo;85Gd738 4cb2:90e42900qo:n0;296?6=8r.9nn4=3`9K`23<@m<:7)?je;10?l7b83:17b<73;29?xd3?:0;6?4?:1y'6ge=::k0Di9:;If55>"6ml08?6g>e183>>i50:0;66sm46694?4=83:p(?ll:31b?Mb0=2Bo:<5+1dg976=n9l:1<75`29194?=zj=k96=4=:183!4ek388m6Fk749K`37<,8on6>=4i0g3>5<?0Di8>;%3fa?543`;n<7>5;n0;7?6=3th?;;4?:383>5}#:ki1>>o4He56?Mb192.:ih4<3:k2a5<722e94>4?::a021=8381<7>t$3``>75f3An<96Fk609'5`c=;:1b=h>50;9l6=5=831vn9o::181>5<7s-8io7<3:1>7>50z&1ff<5;h1Ch:;4He42?!7bm3987d?j0;29?j4?;3:17pl;7883>7<729q/>om522c8La123An==6*>ed807>o6m90;66a=8283>>{e<>k1<7<50;2x 7dd2;9j7Ej85:Jg24=#9lo1?>5f1d294?=h:191<75rb5c;>5<5290;w)Nc?<1Ch;?4$0gf>655<j57>52;294~"5jj09?l5Gd678La063-;ni7=<;h3f4?6=3f83?7>5;|`73f<72;0;6=u+2ca966g<@m=>7Ej91:&2a`<4;2c:i=4?::m1<6<722wi8:j50;094?6|,;hh6?=n;If41>Nc>81/=hk5329j5`6=831d>5=50;9~f1ge29096=4?{%0ag?44i2Bo;85Gd738 4cb2:90e42900qo:nc;296?6=8r.9nn4=3`9K`23<@m<:7)?je;10?l7b83:17b<73;29?xd3>;0;6?4?:1y'6ge=::k0Di9:;If55>"6ml08?6g>e183>>i50:0;66sm47194?4=83:p(?ll:31b?Mb0=2Bo:<5+1dg976=n9l:1<75`29194?=zj=3:6=4=:183!4ek388m6Fk749K`37<,8on6>=4i0g3>5<?0Di8>;%3fa?543`;n<7>5;n0;7?6=3th?>:4?:583>5}#:ki1>>m4He56?Mb192.:ih4>5g9j5`6=831b=h?50;9j5`4=831d>5=50;9~f141290?6=4?{%0ag?70i2Bo;85Gd738m<1=831bn84?::k1=g<722e95l4?::a13`=83>1<7>t$3``>41f3An<96Fk609j=2<722ci97>5;h0:f?6=3f82m7>5;|`60d<72=0;6=u+2ca966e<@m=>7Ej91:&2a`<6=o1b=h>50;9j5`7=831b=h<50;9l6=5=831vn8:6:187>5<7s-8io7?8a:Jg30=Ol?;0e4950;9jf0<722c95o4?::m1=d<722wi99950;694?6|,;hh6<9n;If41>Nc>81b5:4?::ka1?6=3`82n7>5;n0:e?6=3thh;:4?:583>5}#:ki1=:o4He56?Mb192c2;7>5;h`6>5<5<53;294~"5jj0:;:5Gd678La063`3<6=44ic794?=h:0k1<75rb2fb>5<4290;w)Nc?<1Ch;?4$0gf>17<,;3<6io<;h3f4?6=3`;n=7>5;n0;7?6=3th8h44?:283>5}#:ki1=:94He56?Mb192c2;7>5;h`6>5<?0Di8>;%3fa?263`;n<7>5;h3f5?6=3f83?7>5;|`77`<72:0;6=u+2ca9521<@m=>7Ej91:k:3?6=3`h>6=44o3;b>5<53;294~"5jj0:;:5Gd678La063`3<6=44ic794?=h:0k1<75rbb4g>5<4290;w)Nc?<1Ch;?4i8594?=nj<0;66a=9`83>>{ek0;66gm5;29?j4>i3:17pll8e83>6<729q/>om51658La123An==6g67;29?ld22900c?7n:188ygec>3:1?7>50z&1ff<6?>1Ch:;4He42?l?02900eo;50;9l6:180>5<7s-8io7?87:Jg30=Ol?;0(5;n0:e?6=3thhn>4?:283>5}#:ki1=:94He56?Mb192c2;7>5;h`6>5<?0Di8>;h;4>5<5;h3f6?6=3`;n?7>5;h3f0?6=3`;n97>5;n0;7?6=3th9h?4?:683>5}#:ki1>>h4He56?Mb192.:ih4>919j5`6=831b=h?50;9j5`4=831b=h=50;9j5`2=831b=h;50;9l6=5=831vn?j>:184>5<7s-8io7<2<729q/>om522d8La123An==6*>ed82=5=n9l:1<75f1d394?=n9l81<75f1d194?=n9l>1<75f1d794?=h:191<75rb3ae>5<0290;w)Nc?<1Ch;?4$0gf>4?73`;n<7>5;h3f5?6=3`;n>7>5;h3f7?6=3`;n87>5;h3f1?6=3f83?7>5;|`1g`<72>0;6=u+2ca966`<@m=>7Ej91:&2a`<6191b=h>50;9j5`7=831b=h<50;9j5`5=831b=h:50;9j5`3=831d>5=50;9~f7e?290<6=4?{%0ag?44n2Bo;85Gd738 4cb283;7d?j0;29?l7b93:17d?j2;29?l7b;3:17d?j4;29?l7b=3:17b<73;29?xdekh0;694?:1y'6ge=::i0Di9:;If55>"6ml0:9k5+2859`=45<5<57;294~"5jj09?k5Gd678La063-;ni7;?;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;h3f0?6=3`;n97>5;n0;7?6=3th9i=4?:483>5}#:ki1>>j4He56?Mb192.:ih4>8b9j5`6=831b=h?50;9j5`4=831b=h=50;9l6=5=831vnnhl:185>5<7s-8io7<4?:1y'6ge=::h0Di9:;If55>"6ml0>o6g>e183>>o6m80;66a=8283>>{ekj81<7950;2x 7dd2;9m7Ej85:Jg24=#9lo1=4;4i0g3>5<5<5<6=44o3:0>5<57;294~"5jj09?k5Gd678La063-;ni7?75:k2a5<722c:i<4?::k2a7<722c:i>4?::k2a1<722c:i84?::m1<6<722wi>nm50;594?6|,;hh6?=i;If41>Nc>81/=hk51978m4c72900e:188m4c52900e42900qoo6m90;66g>e083>>o6m;0;66g>e283>>o6m=0;66g>e483>>i50:0;66sm2bc94?1=83:p(?ll:31e?Mb0=2Bo:<5+1dg95=35<5<5<?0Di8>;%3fa?7?=2c:i=4?::k2a4<722c:i?4?::k2a6<722c:i94?::k2a0<722e94>4?::a6f0=83=1<7>t$3``>75a3An<96Fk609'5`c=91?0e50z&1ff<5;o1Ch:;4He42?!7bm3;396g>e183>>o6m80;66g>e383>>o6m:0;66g>e583>>o6m<0;66a=8283>>{e:j>1<7950;2x 7dd2;9m7Ej85:Jg24=#9lo1=5;4i0g3>5<5<5<6=44o3:0>5<57;294~"5jj09?k5Gd678La063-;ni7?75:k2a5<722c:i<4?::k2a7<722c:i>4?::k2a1<722c:i84?::m1<6<722wi>n<50;594?6|,;hh6?=i;If41>Nc>81/=hk51978m4c72900e:188m4c52900e42900qoo6m90;66g>e083>>o6m;0;66g>e283>>o6m=0;66g>e483>>i50:0;66sm2b294?1=83:p(?ll:31e?Mb0=2Bo:<5+1dg95=35<5<5<?0Di8>;%3fa?7?=2c:i=4?::k2a4<722c:i?4?::k2a6<722c:i94?::k2a0<722e94>4?::a6ae=83=1<7>t$3``>75a3An<96Fk609'5`c=91?0e50z&1ff<5;o1Ch:;4He42?!7bm3;396g>e183>>o6m80;66g>e383>>o6m:0;66g>e583>>o6m<0;66a=8283>>{e:mk1<7950;2x 7dd2;9m7Ej85:Jg24=#9lo1=5;4i0g3>5<5<5<6=44o3:0>5<57;294~"5jj09?k5Gd678La063-;ni7?75:k2a5<722c:i<4?::k2a7<722c:i>4?::k2a1<722c:i84?::m1<6<722wi>i650;594?6|,;hh6?=i;If41>Nc>81/=hk51978m4c72900e:188m4c52900e42900qoo6m90;66g>e083>>o6m;0;66g>e283>>o6m=0;66g>e483>>i50:0;66sm2e494?1=83:p(?ll:31e?Mb0=2Bo:<5+1dg95=35<5<5<?0Di8>;%3fa?7?=2c:i=4?::k2a4<722c:i?4?::k2a6<722c:i94?::k2a0<722e94>4?::a6f?=83=1<7>t$3``>75a3An<96Fk609'5`c=91?0e50z&1ff<5;o1Ch:;4He42?!7bm3;396g>e183>>o6m80;66g>e383>>o6m:0;66g>e583>>o6m<0;66a=8283>>{ekkn1<7950;2x 7dd2;9m7Ej85:Jg24=#9lo1=584i0g3>5<5<5<6=44o3:0>5<57;294~"5jj09?k5Gd678La063-;ni7=l;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;h3f0?6=3`;n97>5;n0;7?6=3thhm>4?:583>5}#:ki1>>m4He56?Mb192.:ih4>719'6<1=l0l0e42900qolna;293?6=8r.9nn4=3g9K`23<@m<:7)?je;0b?l7b83:17d?j1;29?l7b:3:17d?j3;29?l7b<3:17d?j5;29?j4?;3:17plma883>2<729q/>om522d8La123An==6*>ed8231=n9l:1<75f1d394?=n9l81<75f1d194?=n9l>1<75f1d794?=h:191<75rbc`4>5<1290;w)Nc?<1Ch;?4$0gf>4b5<5<5<57;294~"5jj09?k5Gd678La063-;ni7?7;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;h3f0?6=3`;n97>5;n0;7?6=3th3?n4?:583>5}#:ki1>>m4He56?Mb192.:ih4>5g9'6<1=l0?0e42900qo6o6m90;66g>e083>>o6m;0;66g>e283>>o6m=0;66g>e483>>i50:0;66sm82094?2=83:p(?ll:31`?Mb0=2Bo:<5+1dg950`<,;3<6i7;;h3f4?6=3`;n=7>5;h3f6?6=3f83?7>5;|`;74<72>0;6=u+2ca966`<@m=>7Ej91:&2a`<6??1b=h>50;9j5`7=831b=h<50;9j5`5=831b=h:50;9j5`3=831d>5=50;9~f=4>290?6=4?{%0ag?44k2Bo;85Gd738 4cb28?m7)<67;f:0>o6m90;66g>e083>>o6m;0;66a=8283>>{e0;21<7950;2x 7dd2;9m7Ej85:Jg24=#9lo1=:84i0g3>5<5<5<6=44o3:0>5<54;294~"5jj09?n5Gd678La063-;ni7?:f:&1=250;9j5`7=831b=h<50;9l6=5=831vn5?i:184>5<7s-8io7<1<729q/>om522a8La123An==6*>ed821c=#:0=1h4=4i0g3>5<5<?0Di8>;%3fa?70>2c:i=4?::k2a4<722c:i?4?::k2a6<722c:i94?::k2a0<722e94>4?::a<5c=83>1<7>t$3``>75d3An<96Fk609'5`c=9"6ml0:;;5f1d294?=n9l;1<75f1d094?=n9l91<75f1d694?=n9l?1<75`29194?=zj1:>6=4;:183!4ek388o6Fk749K`37<,8on6<;i;%0:3?b>:2c:i=4?::k2a4<722c:i?4?::m1<6<722wi4=:50;594?6|,;hh6?=i;If41>Nc>81/=hk51648m4c72900e:188m4c52900e42900qo9ja;290?6=8r.9nn4=3b9K`23<@m<:7)?je;36b>"51>0o5<5f1d294?=n9l;1<75f1d094?=h:191<75rb6g:>5<0290;w)Nc?<1Ch;?4$0gf>4113`;n<7>5;h3f5?6=3`;n>7>5;h3f7?6=3`;n87>5;h3f1?6=3f83?7>5;|`434<72=0;6=u+2ca966e<@m=>7Ej91:&2a`<6=o1/>495d838m4c72900e:188m4c52900c?6<:188yg1083:1;7>50z&1ff<5;o1Ch:;4He42?!7bm3;<:6g>e183>>o6m80;66g>e383>>o6m:0;66g>e583>>o6m<0;66a=8283>>{e?=>1<7:50;2x 7dd2;9h7Ej85:Jg24=#9lo1=8h4$3;4>a?73`;n<7>5;h3f5?6=3`;n>7>5;n0;7?6=3th<8>4?:683>5}#:ki1>>h4He56?Mb192.:ih4>779j5`6=831b=h?50;9j5`4=831b=h=50;9j5`2=831b=h;50;9l6=5=831vn:=m:187>5<7s-8io7<?3n2<6g>e183>>o6m80;66g>e383>>i50:0;66sm72c94?1=83:p(?ll:31e?Mb0=2Bo:<5+1dg95205<5<5<?0Di8>;%3fa?72n2.95:4k8g9j5`6=831b=h?50;9j5`4=831d>5=50;9~f256290<6=4?{%0ag?44n2Bo;85Gd738 4cb28==7d?j0;29?l7b93:17d?j2;29?l7b;3:17d?j4;29?l7b=3:17b<73;29?xd0:00;694?:1y'6ge=::i0Di9:;If55>"6ml0:9k5+2859`=`5<5<57;294~"5jj09?k5Gd678La063-;ni7?86:k2a5<722c:i<4?::k2a7<722c:i>4?::k2a1<722c:i84?::m1<6<722wi;?>50;694?6|,;hh6?=l;If41>Nc>81/=hk514d8 7?02m2n7d?j0;29?l7b93:17d?j2;29?j4?;3:17pl81g83>2<729q/>om522d8La123An==6*>ed8233=n9l:1<75f1d394?=n9l81<75f1d194?=n9l>1<75f1d794?=h:191<75rb634>5<3290;w)Nc?<1Ch;?4$0gf>43a3-82;7j7e:k2a5<722c:i<4?::k2a7<722e94>4?::a340=83=1<7>t$3``>75a3An<96Fk609'5`c=9><0e50z&1ff<5;l1Ch:;4He42?!7bm3897)<67;f;7>o6m90;66g>e083>>o6m;0;66g>e283>>o6m=0;66a=8283>>{ekk:1<7950;2x 7dd2;9m7Ej85:Jg24=#9lo18k5f1d294?=n9l;1<75f1d094?=n9l91<75f1d694?=n9l?1<75`29194?=zjjnj6=4::183!4ek388h6Fk749K`37<,8on6<=4$3;4>ag53`;n<7>5;h3f5?6=3`;n>7>5;h3f7?6=3f83?7>5;|`635<72?0;6=u+2ca966c<@m=>7Ej91:&2a`<1n2.95:4k9e9j5`6=831b=h?50;9j5`4=831b=h=50;9j5`2=831d>5=50;9~ff75290=6=4?{%0ag?44m2Bo;85Gd738 4cb282<7)<67;f;5>o6m90;66g>e083>>o6m;0;66g>e283>>o6m=0;66a=8283>>{ek;:1<7950;2x 7dd2;9m7Ej85:Jg24=#9lo1=464i0g3>5<5<5<6=44o3:0>5<54;294~"5jj09?n5Gd678La063-;ni7?9e:k2a5<722c:i<4?::k2a7<722e94>4?::a11>=83<1<7>t$3``>75b3An<96Fk609'5`c=>m1b=h>50;9j5`7=831b=h<50;9j5`5=831b=h:50;9l6=5=831vno6k:186>5<7s-8io7<7d?j0;29?l7b93:17d?j2;29?l7b;3:17b<73;29?xd4lj0;694?:1y'6ge=::i0Di9:;If55>"6ml0996*=968ge6=n9l:1<75f1d394?=n9l81<75`29194?=zjj<;6=4;:183!4ek388o6Fk749K`37<,8on6>:4i0g3>5<5<3:1?0Di8>;%3fa?7>92.95:4k8`9j5`6=831b=h?50;9j5`4=831b=h=50;9j5`2=831d>5=50;9~ffd3290<6=4?{%0ag?44n2Bo;85Gd738 4cb2;<0e50z&1ff<5;l1Ch:;4He42?!7bm3;3?6*=968g<5=n9l:1<75f1d394?=n9l81<75f1d194?=n9l>1<75`29194?=zjkk?6=4;:183!4ek388o6Fk749K`37<,8on6<8i;%0:3?b?12c:i=4?::k2a4<722c:i?4?::m1<6<722winl=50;594?6|,;hh6?=i;If41>Nc>81/=hk5269j5`6=831b=h?50;9j5`4=831b=h=50;9j5`2=831b=h;50;9l6=5=831vnn8j:184>5<7s-8io7<5<7s-8io7<2<729q/>om522d8La123An==6*>ed82f>o6m90;66g>e083>>o6m;0;66g>e283>>o6m=0;66g>e483>>i50:0;66smb`f94?1=83:p(?ll:31e?Mb0=2Bo:<5+1dg9`>o6m90;66g>e083>>o6m;0;66g>e283>>o6m=0;66g>e483>>i50:0;66smb`d94?1=83:p(?ll:31e?Mb0=2Bo:<5+1dg953=n9l:1<75f1d394?=n9l81<75f1d194?=n9l>1<75f1d794?=h:191<75rbc`a>5<1290;w)Nc?<1Ch;?4$0gf>415<5<5<o7>56;294~"5jj09?h5Gd678La063-;ni7;j;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;h3f0?6=3f83?7>5;|`af7<72<0;6=u+2ca966b<@m=>7Ej91:&2a`<2?2c:i=4?::k2a4<722c:i?4?::k2a6<722e94>4?::af2?=83>1<7>t$3``>75d3An<96Fk609'5`c=>?1b=h>50;9j5`7=831b=h<50;9l6=5=831vnool:184>5<7s-8io7<2<729q/>om522d8La123An==6*>ed8`?l7b83:17d?j1;29?l7b:3:17d?j3;29?l7b<3:17d?j5;29?j4?;3:17pl:4583>2<729q/>om522d8La123An==6*>ed8g?l7b83:17d?j1;29?l7b:3:17d?j3;29?l7b<3:17d?j5;29?j4?;3:17pl:4383>2<729q/>om522d8La123An==6*>ed8g?l7b83:17d?j1;29?l7b:3:17d?j3;29?l7b<3:17d?j5;29?j4?;3:17pl:4183>2<729q/>om522d8La123An==6*>ed822>o6m90;66g>e083>>o6m;0;66g>e283>>o6m=0;66g>e483>>i50:0;66smcg494?3=83:p(?ll:31g?Mb0=2Bo:<5+1dg95=><,;3<6i66;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;n0;7?6=3thhj84?:683>5}#:ki1>>h4He56?Mb192.:ih4>0:k2a5<722c:i<4?::k2a7<722c:i>4?::k2a1<722c:i84?::m1<6<722wi:il50;794?6|,;hh6?=k;If41>Nc>81/=hk5929'6<1=l030e50z&1ff<5;l1Ch:;4He42?!7bm3;356*=968g=f=n9l:1<75f1d394?=n9l81<75f1d194?=n9l>1<75`29194?=zjk336=48:183!4ek388j6Fk749K`37<,8on6;l4i0g3>5<5<5<6=44o3:0>5<57;294~"5jj09?k5Gd678La063-;ni77;;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;h3f0?6=3`;n97>5;n0;7?6=3th=i>4?:583>5}#:ki1>>m4He56?Mb192.:ih4>719'6<1=l030e42900qomk7;293?6=8r.9nn4=3g9K`23<@m<:7)?je;0;?l7b83:17d?j1;29?l7b:3:17d?j3;29?l7b<3:17d?j5;29?j4?;3:17plmbg83>3<729q/>om522g8La123An==6*>ed876>"51>0om55f1d294?=n9l;1<75f1d094?=n9l91<75f1d694?=h:191<75rb455>5<0290;w)Nc?<1Ch;?4$0gf>605<5<5<3:1?0Di8>;%3fa?7012c:i=4?::k2a4<722c:i?4?::k2a6<722c:i94?::m1<6<722wi:ik50;494?6|,;hh6?=j;If41>Nc>81/=hk516;8 7?02m3n7d?j0;29?l7b93:17d?j2;29?l7b;3:17d?j4;29?j4?;3:17plm9d83>2<729q/>om522d8La123An==6*>ed803>o6m90;66g>e083>>o6m;0;66g>e283>>o6m=0;66g>e483>>i50:0;66smc6094?0=83:p(?ll:31f?Mb0=2Bo:<5+1dg95<4<,;3<6i6?;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;h3f0?6=3f83?7>5;|`ae`<72>0;6=u+2ca966`<@m=>7Ej91:&2a`<6>2c:i=4?::k2a4<722c:i?4?::k2a6<722c:i94?::k2a0<722e94>4?::a175=83=1<7>t$3``>75a3An<96Fk609'5`c==?1b=h>50;9j5`7=831b=h<50;9j5`5=831b=h:50;9j5`3=831d>5=50;9~ffea290=6=4?{%0ag?44m2Bo;85Gd738 4cb282i7d?j0;29?l7b93:17d?j2;29?l7b;3:17d?j4;29?j4?;3:17pllcd83>2<729q/>om522d8La123An==6*>ed82g>o6m90;66g>e083>>o6m;0;66g>e283>>o6m=0;66g>e483>>i50:0;66smcc094?0=83:p(?ll:31f?Mb0=2Bo:<5+1dg97g=#:0=1h4l4i0g3>5<5<5<?0Di8>;%3fa?7?:2c:i=4?::k2a4<722c:i?4?::k2a6<722e94>4?::a2`6=83>1<7>t$3``>7203An<96Fk609j5`6=831b=h?50;9j6de=831d>5=50;9~f3c6290<6=4?{%0ag?44n2Bo;85Gd738 4cb250z&1ff<5;o1Ch:;4He42?!7bm3?37d?j0;29?l7b93:17d?j2;29?l7b;3:17d?j4;29?l7b=3:17b<73;29?xd01>0;694?:1y'6ge=:==0Di9:;If55>o6m90;66g>e083>>o5ij0;66a=8283>>{e?021<7950;2x 7dd2;9m7Ej85:Jg24=#9lo1=;<4i0g3>5<5<5<6=44o3:0>5<57;294~"5jj09?k5Gd678La063-;ni7?7d:k2a5<722c:i<4?::k2a7<722c:i>4?::k2a1<722c:i84?::m1<6<722wi;5j50;694?6|,;hh6?:8;If41>Nc>81b=h>50;9j5`7=831b>lm50;9l6=5=831vn:6j:184>5<7s-8io7<2<729q/>om522d8La123An==6*>ed821<75f1d794?=h:191<75rb6:0>5<3290;w)Nc?<1Ch;?4i0g3>5<5<?0Di8>;%3fa?71:2c:i=4?::k2a4<722c:i?4?::k2a6<722c:i94?::k2a0<722e94>4?::a3=3=83=1<7>t$3``>75a3An<96Fk609'5`c=91n0e50z&1ff<5<>1Ch:;4He42?l7b83:17d?j1;29?l4fk3:17b<73;29?xd0?h0;6:4?:1y'6ge=::l0Di9:;If55>"6ml0::?5f1d294?=n9l;1<75f1d094?=n9l91<75f1d694?=n9l?1<75`29194?=zj>=i6=48:183!4ek388j6Fk749K`37<,8on6<6k;h3f4?6=3`;n=7>5;h3f6?6=3`;n?7>5;h3f0?6=3`;n97>5;n0;7?6=3th<:;4?:583>5}#:ki1>994He56?Mb192c:i=4?::k2a4<722c9mn4?::m1<6<722wi;;950;594?6|,;hh6?=i;If41>Nc>81/=hk51708m4c72900e:188m4c52900e42900qo998;293?6=8r.9nn4=3g9K`23<@m<:7)?je;3;`>o6m90;66g>e083>>o6m;0;66g>e283>>o6m=0;66g>e483>>i50:0;66sm74a94?2=83:p(?ll:364?Mb0=2Bo:<5f1d294?=n9l;1<75f2`a94?=h:191<75rb67g>5<0290;w)Nc?<1Ch;?4$0gf>4053`;n<7>5;h3f5?6=3`;n>7>5;h3f7?6=3`;n87>5;h3f1?6=3f83?7>5;|`41`<72>0;6=u+2ca966`<@m=>7Ej91:&2a`<60m1b=h>50;9j5`7=831b=h<50;9j5`5=831b=h:50;9j5`3=831d>5=50;9~f2g5290?6=4?{%0ag?43?2Bo;85Gd738m4c72900e:188m7gd2900c?6<:188yg1f;3:1;7>50z&1ff<5;o1Ch:;4He42?!7bm3;=>6g>e183>>o6m80;66g>e383>>o6m:0;66g>e583>>o6m<0;66a=8283>>{e?h>1<7950;2x 7dd2;9m7Ej85:Jg24=#9lo1=5j4i0g3>5<5<5<6=44o3:0>5<54;294~"5jj098:5Gd678La063`;n<7>5;h3f5?6=3`8jo7>5;n0;7?6=3th5}#:ki1>>h4He56?Mb192.:ih4>639j5`6=831b=h?50;9j5`4=831b=h=50;9j5`2=831b=h;50;9l6=5=831vn:l?:184>5<7s-8io7<1<729q/>om52558La123An==6g>e183>>o6m80;66g=ab83>>i50:0;66sm7ed94?1=83:p(?ll:31e?Mb0=2Bo:<5+1dg95345<5<5<?0Di8>;%3fa?7?l2c:i=4?::k2a4<722c:i?4?::k2a6<722c:i94?::k2a0<722e94>4?::a3a4=83>1<7>t$3``>7203An<96Fk609j5`6=831b=h?50;9j6de=831d>5=50;9~f2b4290<6=4?{%0ag?44n2Bo;85Gd738 4cb28<97d?j0;29?l7b93:17d?j2;29?l7b;3:17d?j4;29?l7b=3:17b<73;29?xd0l=0;6:4?:1y'6ge=::l0Di9:;If55>"6ml0:4i5f1d294?=n9l;1<75f1d094?=n9l91<75f1d694?=n9l?1<75`29194?=zj>i=6=4;:183!4ek38?;6Fk749K`375<5<57;294~"5jj09?k5Gd678La063-;ni7?92:k2a5<722c:i<4?::k2a7<722c:i>4?::k2a1<722c:i84?::m1<6<722wi;n650;594?6|,;hh6?=i;If41>Nc>81/=hk519f8m4c72900e:188m4c52900e42900qo9ma;290?6=8r.9nn4=469K`23<@m<:7d?j0;29?l7b93:17d2<729q/>om522d8La123An==6*>ed8227=n9l:1<75f1d394?=n9l81<75f1d194?=n9l>1<75f1d794?=h:191<75rb6``>5<0290;w)Nc?<1Ch;?4$0gf>4>c3`;n<7>5;h3f5?6=3`;n>7>5;h3f7?6=3`;n87>5;h3f1?6=3f83?7>5;|``1`<72;0;6=u+2ca9665<@m=>7Ej91:k2`c<722e94>4?::ag<`=8381<7>t$3``>7543An<96Fk609j5a`=831d>5=50;9~ffb429096=4?{%0ag?44;2Bo;85Gd738m4ba2900c?6<:188yge0=3:1>7>50z&1ff<5;:1Ch:;4He42?l7cn3:17b<73;29?xdd010;6?4?:1y'6ge=::90Di9:;If55>o6lo0;66a=8283>>{e;5<5bb83>5}#:ki1>4j4He56?Mb192P297mt2c81g?2?2=i1844;d;6b>6`=;l0?<7:m:2f9y!4?>3nij6*>eg84?!7a83=0(:69'5c4=?2.:j>48;%3e0?1<,8l>6:5+1g493>"6n>0<7)?i8;58 4`>2>1/=ko57:&2bg<03-;mo794$0dg>2=#9oo1;6*>fg84?!4783=0(?>>:69'654=?2.9<>48;%030?1<,;:>6:5+21493>"58>0<7)2>1/>=o57:&14g<03-8;o794$32g>2=#:9o1;6*=0g84?!4683=0(??>:69'644=?2.9=>48;%020?1<,;;>6:5+20493>"59>0<7)<>8;58 77>2>1/>2=#:8o1;6*=1g84?!4583=0(?<>:69'674=?2.9>>48;%010?1<,;8>6:5+23493>"5:>0<7)<=8;58 74>2>1/>?o57:&16g<03-89o794$30g>2=#:;o1;6*=2g85?!4483<0(?oi:3:1?!4e=3<0(?l9:79'`27=:0l0(i9=:3;e?!7bi3=0("6mj0<7cl8:59mf=<33g;9;7>4n06:>5=#9?31>ol4$04b>7de3-8j977n;%0b2??f3-8j87>?52908m7g72900el:50;9j53>=831b>l=50;9je<<722c9m<4?::k1e7<722c:::4?::k245<72-8297hi;o0:0?6<3`ln6=4+2879bc=i:0>1=65ffe83>!4>=3lm7c<64;08?l`d290/>4;5fg9m6<2=;21bh;l50;&1=0h1e>4:50:9j`3?=83.9584k6`9m6<2=921bh;650;&1=0h1e>4:52:9j`31=83.9584k6`9m6<2=;21d=4:50:9l54b=83.9584>1d9m6<2=921d=4:52:9l54g=83.9584>1d9m6<2=;21d=<750;&1=0<69l1e>4:54:9l54>=83.9584>1d9m6<2==21d=<950;&1=0<69l1e>4:56:9l540=83.9584>1d9m6<2=?21d=<;50;&1=0<69l1e>4:58:9l542=83.9584>1d9m6<2=121d=<=50;&1=0<69l1e>4:5a:9l544=83.9584>1d9m6<2=j21d=<>50;&1=0<69l1e>4:5c:9l55`=83.9584>1d9m6<2=l21d==k50;&1=0<69l1e>4:5e:9l55b=83.9584>1d9m6<2=n21d==m50;&1=0<69l1e>4:51198k46e290/>4;510g8j7?328;07b??a;29 7?228;n7c<64;31?>i6800;6)<65;32a>h51=0:?65`11:94?"51<0:=h5a286951=684?:%0:1?76m2d9594>7:9l572=83.9584>1d9m6<2=9110c<<<:18'6<3=98o0b?7;:0;8?j75:3:1(?7::03f?k4><3;j76a>2083>!4>=3;:i6`=9582f>=h9;:1<7*=94825`=i:0>1=n54o03`>5<#:0?1=4b<3f;:=7>5$3;6>47b3g8287?j;:m243<72-8297?>e:l1=1<6n21b;84?:%0:1?133g8287>4;h50>5<#:0?1;95a28695>=n?;0;6)<65;57?k4><3807d9>:18'6<3=?=1e>4:53:9j3`<72-82979;;o0:0?2<3`=o6=4+287931=i:0>1965f7b83>!4>=3=?7c<64;48?l1e290/>4;5759m6<2=?21b;l4?:%0:1?133g828764;h5:>5<#:0?1;95a2869=>=n?10;6)<65;57?k4><3k07d98:18'6<3=?=1e>4:5b:9j33<72-82979;;o0:0?e<3`=;6=4+287931=i:0>1h65f8583>!4>=3287c<64;28?l>5290/>4;5829m6<2=921b4<4?:%0:1?>43g8287<4;h:3>5<#:0?14>5a28697>=n0m0;6)<65;:0?k4><3>07d6l:18'6<3=0:1e>4:55:9j1;65f8883>!4>=3287c<64;:8?l>?290/>4;5829m6<2=121b4:4?:%0:1?>43g8287o4;h:5>5<#:0?14>5a2869f>=n0<0;6)<65;:0?k4><3i07d9i:18'6<3=0:1e>4:5d:9jb1<72-8297h<;o0:0?6<3`l96=4+2879b6=i:0>1=65ff183>!4>=3l87c<64;08?lca290/>4;5f29m6<2=;21bih4?:%0:1?`43g8287:4;hgg>5<#:0?1j>5a28691>=nmj0;6)<65;d0?k4><3<07dkm:18'6<3=n:1e>4:57:9jad<72-8297h<;o0:0?><3`o26=4+2879b6=i:0>1565fe983>!4>=3l87c<64;c8?lc0290/>4;5f29m6<2=j21bi84?:%0:1?`43g8287m4;hg7>5<#:0?1j>5a2869`>=nm:0;6)<65;d0?k4><3o07dk=:18'6<3=n:1e>4:5f:9ja4<72-8297h<;o0:0?7732cn<7>5$3;6>c55<#:0?1j>5a286957=h51=0:?65fde83>!4>=3l87c<64;37?>ock3:1(?7::g18j7?328?07dhm:18'6<3=n:1e>4:51798mcg=83.9584i3:l1=1<6?21bj44?:%0:1?`43g8287?7;:ke6k=4n3;7>4?<3`l<6=4+2879b6=i:0>1=l54ig494?"51<0m?6`=9582f>=nn<0;6)<65;d0?k4><3;h76gi1;29 7?22o90b?7;:0f8?lc1290/>4;5f29m6<2=9l10eil50;&1=0f:9l51c=83.9584>4e9m6<2=821d=9m50;&1=0<64:51:9l51d=83.9584>4e9m6<2=:21d=9o50;&1=0<64:53:9j553=83.9584>059m6<2=821b===50;&1=0<68=1e>4:51:9j554=83.9584>059m6<2=:21b==?50;&1=0<68=1e>4:53:9l505=83.9584>539m6<2=821d=8?50;&1=0<6=;1e>4:51:9l506=83.9584>539m6<2=:21d=9h50;&1=0<6=;1e>4:53:9l517=83.9584>419m6<2=821d=>h50;&1=0<6<91e>4:51:9l56b=83.9584>419m6<2=:21d=>m50;&1=0<6<91e>4:53:9l56d=83.9584>419m6<2=<21d=>o50;&1=0<6<91e>4:55:9l56?=83.9584>419m6<2=>21d=>650;&1=0<6<91e>4:57:9l561=83.9584>419m6<2=021d=>850;&1=0<6<91e>4:59:9l563=83.9584>419m6<2=i21d=>:50;&1=0<6<91e>4:5b:9l564=83.9584>419m6<2=k21d=>?50;&1=0<6<91e>4:5d:9l566=83.9584>419m6<2=m21d=?h50;&1=0<6<91e>4:5f:9l57c=83.9584>419m6<2=9910c<<3;976a>2c83>!4>=3;?<6`=95827>=h9;k1<7*=948205=i:0>1=954o00:>5<#:0?1=9>4n3;7>43<3f;?47>5$3;6>4273g8287?9;:m202<72-8297?;0:l1=1<6?21d=9850;&1=0<6<91e>4:51998k422290/>4;51528j7?328307b?;4;29 7?228>;7c<64;3b?>i6<:0;6)<65;374>h51=0:n65`15094?"51<0:8=5a28695f=6<:?;o0:0?7b32e:>54?:%0:1?7382d9594>f:9j`26=83.9584k6g9m6<2=821bh;k50;&1=0o1e>4:51:9j`3b=83.9584k6g9m6<2=:21bh;m50;&1=0o1e>4:53:9j`6<72-8297j=;o0:0?6<3`n:6=4+2879`7=i:0>1=65fcg83>!4>=3n97c<64;08?leb290/>4;5d39m6<2=;21boi4?:%0:1?b53g8287:4;ha`>5<#:0?1h?5a28691>=nkk0;6)<65;f1?k4><3<07dmn:18'6<3=l;1e>4:57:9jg<<72-8297j=;o0:0?><3`i36=4+2879`7=i:0>1565fc683>!4>=3n97c<64;c8?le1290/>4;5d39m6<2=j21bo94?:%0:1?b53g8287m4;ha0>5<#:0?1h?5a2869`>=nk;0;6)<65;f1?k4><3o07dm>:18'6<3=l;1e>4:5f:9jg5<72-8297j=;o0:0?7732cij7>5$3;6>a45<#:0?1h?5a286957=h51=0:?65fbb83>!4>=3n97c<64;37?>oej3:1(?7::e08j7?328?07djn:18'6<3=l;1e>4:51798ma?=83.9584k2:l1=1<6?21bh54?:%0:1?b53g8287?7;:kg3?6=,;3>6i<4n3;7>4?<3`n=6=4+2879`7=i:0>1=l54ie794?"51<0o>6`=9582f>=nl=0;6)<65;f1?k4><3;h76gk0;29 7?22m80b?7;:0f8?le2290/>4;5d39m6<2=9l10eoo50;&1=0f:9~f0c2290io7>50z&1ff<51m1Ch:;4He42?_?22jq9n7o69o53g80a?272=h1?i4r$3:5>ada3-;nj794$0d3>2=#9o;1;6*>f384?!7a;3=0("6nh0<7)?ib;58 4`d2>1/=kj57:&2b`<03-;mj794$323>2=#:9;1;6*=0384?!47;3=0(?>;:69'653=?2.9<;48;%033?1<,;:36:5+21;93>"58h0<7)1/>=j57:&14`<03-8;j794$333>2=#:8;1;6*=1384?!46;3=0(??;:69'643=?2.9=;48;%023?1<,;;36:5+20;93>"59h0<7)<>b;58 77d2>1/>2=#:;;1;6*=2384?!45;3=0(?<;:69'673=?2.9>;48;%013?1<,;836:5+23;93>"5:h0<7)<=b;58 74d2>1/>?j57:&16`<03-89j784$313>3=#:hl1>5<4$3`6>3=#:k<1:6*k7081=c=#l>81>4h4$0gb>2=#9l21;6*>e884?!7bj3=0("5;8094?5f2`294?=ni=0;66g>6983>>o5i:0;66gn9;29?l4f93:17d5$3;6>c`oc>k0;6)<65;f5e>h51=0;76gk6883>!4>=3n=m6`=9582?>oc>10;6)<65;f5e>h51=0976gk6683>!4>=3n=m6`=9580?>i69o0;6)<65;32a>h51=0;76a>1e83>!4>=3;:i6`=9582?>i69k0;6)<65;32a>h51=0976a>1`83>!4>=3;:i6`=9580?>i6900;6)<65;32a>h51=0?76a>1983>!4>=3;:i6`=9586?>i69>0;6)<65;32a>h51=0=76a>1783>!4>=3;:i6`=9584?>i69<0;6)<65;32a>h51=0376a>1583>!4>=3;:i6`=958:?>i69:0;6)<65;32a>h51=0j76a>1383>!4>=3;:i6`=958a?>i6990;6)<65;32a>h51=0h76a>0g83>!4>=3;:i6`=958g?>i68l0;6)<65;32a>h51=0n76a>0e83>!4>=3;:i6`=958e?>i68j0;6)<65;32a>h51=0:<65`11`94?"51<0:=h5a286954=64:9l551=83.9584>1d9m6<2=9<10c<<9:18'6<3=98o0b?7;:048?j75=3:1(?7::03f?k4><3;<76a>2583>!4>=3;:i6`=9582<>=h9;91<7*=94825`=i:0>1=454o001>5<#:0?1=4g<3f;9=7>5$3;6>47b3g8287?m;:m265<72-8297?>e:l1=1<6k21d=4:51e98k476290/>4;510g8j7?328o07b??6;29 7?228;n7c<64;3e?>o0=3:1(?7::668j7?32910e:=50;&1=0<0<2d9594>;:k46?6=,;3>6::4n3;7>7=;1<7*=94840>h51=0876g8e;29 7?22>>0b?7;:598m2b=83.958484:l1=1<232c5$3;6>22o0i3:1(?7::668j7?32110e:750;&1=0<0<2d95946;:k46::4n3;7>d==1<7*=94840>h51=0i76g86;29 7?22>>0b?7;:b98m26=83.958484:l1=15$3;6>=5o?93:1(?7::918j7?32;10e5>50;&1=065=4n3;7>1=h51=0>76g7b;29 7?22190b?7;:798m=g=83.958473:l1=1<032c357>5$3;6>=5o??3:1(?7::918j7?32h10e5850;&1=065=4n3;7>f=l1<7*=948;7>h51=0o76gi4;29 7?22o90b?7;:198mc4=83.9584i3:l1=1<632cm<7>5$3;6>c5obm3:1(?7::g18j7?32=10ehj50;&1=06k=4n3;7>3=h51=0<76gja;29 7?22o90b?7;:998m`?=83.9584i3:l1=1<>32cn47>5$3;6>c5ob=3:1(?7::g18j7?32j10eh:50;&1=06k=4n3;7>`=h51=0m76gj1;29 7?22o90b?7;:028?lc7290/>4;5f29m6<2=9810eih50;&1=02:9j``<72-8297h<;o0:0?7432coh7>5$3;6>c55<#:0?1j>5a286950=h51=0::65ff`83>!4>=3l87c<64;34?>oa13:1(?7::g18j7?328207dh7:18'6<3=n:1e>4:51898mc1=83.9584i3:l1=1<6i21bj;4?:%0:1?`43g8287?m;:ke1?6=,;3>6k=4n3;7>4e<3`l:6=4+2879b6=i:0>1=i54id494?"51<0m?6`=9582a>=nlk0;6)<65;d0?k4><3;m76a>4d83>!4>=3;?h6`=9583?>i6h51=0:76a>4c83>!4>=3;?h6`=9581?>i6h51=0876g>0483>!4>=3;;86`=9583?>o68:0;6)<65;330>h51=0:76g>0383>!4>=3;;86`=9581?>o6880;6)<65;330>h51=0876a>5283>!4>=3;>>6`=9583?>i6=80;6)<65;366>h51=0:76a>5183>!4>=3;>>6`=9581?>i6h51=0876a>4083>!4>=3;?<6`=9583?>i6;o0;6)<65;374>h51=0:76a>3e83>!4>=3;?<6`=9581?>i6;j0;6)<65;374>h51=0876a>3c83>!4>=3;?<6`=9587?>i6;h0;6)<65;374>h51=0>76a>3883>!4>=3;?<6`=9585?>i6;10;6)<65;374>h51=0<76a>3683>!4>=3;?<6`=958;?>i6;?0;6)<65;374>h51=0276a>3483>!4>=3;?<6`=958b?>i6;=0;6)<65;374>h51=0i76a>3383>!4>=3;?<6`=958`?>i6;80;6)<65;374>h51=0o76a>3183>!4>=3;?<6`=958f?>i6:o0;6)<65;374>h51=0m76a>2d83>!4>=3;?<6`=95824>=h9;n1<7*=948205=i:0>1=<54o00`>5<#:0?1=9>4n3;7>44<3f;9n7>5$3;6>4273g8287?<;:m26d<72-8297?;0:l1=1<6<21d=?750;&1=0<6<91e>4:51498k42?290/>4;51528j7?328<07b?;7;29 7?228>;7c<64;34?>i6h51=0:465`15794?"51<0:8=5a28695<=?6=4+28795166<:?;o0:0?7e32e:8?4?:%0:1?7382d9594>c:9l56c=83.9584>419m6<2=9m10c<=<:18'6<3=9=:0b?7;:0g8?j7503:1(?7::063?k4><3;m76gk7183>!4>=3n=j6`=9583?>oc>l0;6)<65;f5b>h51=0:76gk6e83>!4>=3n=j6`=9581?>oc>j0;6)<65;f5b>h51=0876gk3;29 7?22m80b?7;:198ma7=83.9584k2:l1=1<632chj7>5$3;6>a46`=9580?>odl3:1(?7::e08j7?32=10enm50;&1=06i<4n3;7>3=h51=0<76gl9;29 7?22m80b?7;:998mf>=83.9584k2:l1=1<>32ch;7>5$3;6>a46`=958a?>od<3:1(?7::e08j7?32j10en=50;&1=06i<4n3;7>`=h51=0m76gl0;29 7?22m80b?7;:028?lda290/>4;5d39m6<2=9810eok50;&1=02:9jfa<72-8297j=;o0:0?7432cio7>5$3;6>a45<#:0?1h?5a286950=h51=0::65fd883>!4>=3n97c<64;34?>oc03:1(?7::e08j7?328207dj8:18'6<3=l;1e>4:51898ma0=83.9584k2:l1=1<6i21bh84?:%0:1?b53g8287?m;:kg0?6=,;3>6i<4n3;7>4e<3`n;6=4+2879`7=i:0>1=i54ib794?"51<0o>6`=9582a>=njh0;6)<65;f1?k4><3;m76sm5d094?dd290;w)Nc?<1Ch;?4Z879g~4e2;i1854;c;6:>1b=585dcd8 4ca2>1/=k>57:&2b4<03-;m>794$0d0>2=#9o>1;6*>f484?!7a>3=0(=?2.:j448;%3ee?1<,8li6:5+1ga93>"6nm0<7)?ie;58 4`a2>1/>=>57:&144<03-8;>794$320>2=#:9>1;6*=0484?!47>3=0(?>8:69'65>=?2.9<448;%03e?1<,;:i6:5+21a93>"58m0<7)1/><>57:&154<03-8:>794$330>2=#:8>1;6*=1484?!46>3=0(??8:69'64>=?2.9=448;%02e?1<,;;i6:5+20a93>"59m0<7)<>e;58 77a2>1/>?>57:&164<03-89>794$300>2=#:;>1;6*=2484?!45>3=0(?<8:69'67>=?2.9>448;%01e?1<,;8i6:5+23a93>"5:m0<7)<=e;58 74a2?1/>>>56:&1ec<50;1/>o;56:&1f3<13-n<=7<6f:&g37<51o1/=ho57:&2a=<03-;n5794$0ga>2=#9ln1;6*>e684?!7b>3=0(h6<00;7)?99;0af>"6>h09no5+2`79=d=#:h<15l5+2`696g><,;h;6?l=;%005?4?:2c9m=4?::kb0?6=3`;=47>5;h0b7?6=3`k26=44i3c2>5<5<1<65ffd83>!4>=3lm7c<64;38?l`c290/>4;5fg9m6<2=:21bjn4?:%0:1?`a3g8287=4;hf5f?6=,;3>6i8n;o0:0?6<3`n=57>5$3;6>a0f3g8287?4;hf56i8n;o0:0?4<3`n=;7>5$3;6>a0f3g8287=4;n32b?6=,;3>65$3;6>47b3g8287?4;n32f?6=,;3>65$3;6>47b3g8287=4;n32=?6=,;3>65$3;6>47b3g8287;4;n323?6=,;3>65$3;6>47b3g828794;n321?6=,;3>6<3f;:87>5$3;6>47b3g828774;n327?6=,;3>67>5$3;6>47b3g8287l4;n324?6=,;3>65$3;6>47b3g8287j4;n33a?6=,;3>65$3;6>47b3g8287h4;n33g?6=,;3>61:9l55g=83.9584>1d9m6<2=9;10c<>6:18'6<3=98o0b?7;:018?j7703:1(?7::03f?k4><3;?76a>0683>!4>=3;:i6`=95821>=h9;<1<7*=94825`=i:0>1=;54o006>5<#:0?1=41<3f;987>5$3;6>47b3g8287?7;:m266<72-8297?>e:l1=1<6121d=?<50;&1=0<69l1e>4:51`98k446290/>4;510g8j7?328h07b?=0;29 7?228;n7c<64;3`?>i69j0;6)<65;32a>h51=0:h65`10394?"51<0:=h5a28695`=5<#:0?1;95a28694>=n?:0;6)<65;57?k4><3;07d9=:18'6<3=?=1e>4:52:9j34<72-82979;;o0:0?5<3`=n6=4+287931=i:0>1865f7e83>!4>=3=?7c<64;78?l1d290/>4;5759m6<2=>21b;o4?:%0:1?133g828794;h5b>5<#:0?1;95a2869<>=n?00;6)<65;57?k4><3307d97:18'6<3=?=1e>4:5a:9j32<72-82979;;o0:0?d<3`==6=4+287931=i:0>1o65f7183>!4>=3=?7c<64;f8?l>3290/>4;5829m6<2=821b4?4?:%0:1?>43g8287?4;h:2>5<#:0?14>5a28696>=n090;6)<65;:0?k4><3907d6k:18'6<3=0:1e>4:54:9j1:65f8`83>!4>=3287c<64;58?l>>290/>4;5829m6<2=021b454?:%0:1?>43g828774;h:4>5<#:0?14>5a2869e>=n0?0;6)<65;:0?k4><3h07d6::18'6<3=0:1e>4:5c:9j3c<72-82976<;o0:0?b<3`l?6=4+2879b6=i:0>1<65ff383>!4>=3l87c<64;38?l`7290/>4;5f29m6<2=:21bik4?:%0:1?`43g8287=4;hgf>5<#:0?1j>5a28690>=nmm0;6)<65;d0?k4><3?07dkl:18'6<3=n:1e>4:56:9jag<72-8297h<;o0:0?1<3`oj6=4+2879b6=i:0>1465fe883>!4>=3l87c<64;;8?lc?290/>4;5f29m6<2=i21bi:4?:%0:1?`43g8287l4;hg6>5<#:0?1j>5a2869g>=nm=0;6)<65;d0?k4><3n07dk<:18'6<3=n:1e>4:5e:9ja7<72-8297h<;o0:0?`<3`o:6=4+2879b6=i:0>1==54id294?"51<0m?6`=95825>=nlo0;6)<65;d0?k4><3;976gke;29 7?22o90b?7;:018?lbc290/>4;5f29m6<2=9=10eim50;&1=05:9jbg<72-8297h<;o0:0?7132cmm7>5$3;6>c55<#:0?1j>5a28695==h51=0:565ff683>!4>=3l87c<64;3b?>oa>3:1(?7::g18j7?328h07dh::18'6<3=n:1e>4:51b98mc7=83.9584i3:l1=1<6l21bi;4?:%0:1?`43g8287?j;:kgf?6=,;3>6k=4n3;7>4`<3f;?i7>5$3;6>42c3g8287>4;n37g?6=,;3>6<:k;o0:0?7<3f;?n7>5$3;6>42c3g8287<4;n37e?6=,;3>6<:k;o0:0?5<3`;;97>5$3;6>4633g8287>4;h337?6=,;3>6<>;;o0:0?7<3`;;>7>5$3;6>4633g8287<4;h335?6=,;3>6<>;;o0:0?5<3f;>?7>5$3;6>4353g8287>4;n365?6=,;3>6<;=;o0:0?7<3f;><7>5$3;6>4353g8287<4;n37b?6=,;3>6<;=;o0:0?5<3f;?=7>5$3;6>4273g8287>4;n30b?6=,;3>6<:?;o0:0?7<3f;8h7>5$3;6>4273g8287<4;n30g?6=,;3>6<:?;o0:0?5<3f;8n7>5$3;6>4273g8287:4;n30e?6=,;3>6<:?;o0:0?3<3f;857>5$3;6>4273g828784;n306<:?;o0:0?1<3f;8;7>5$3;6>4273g828764;n302?6=,;3>6<:?;o0:0??<3f;897>5$3;6>4273g8287o4;n300?6=,;3>6<:?;o0:0?d<3f;8>7>5$3;6>4273g8287m4;n305?6=,;3>6<:?;o0:0?b<3f;8<7>5$3;6>4273g8287k4;n31b?6=,;3>6<:?;o0:0?`<3f;9i7>5$3;6>4273g8287??;:m26a<72-8297?;0:l1=1<6921d=?m50;&1=0<6<91e>4:51398k44e290/>4;51528j7?328907b?=a;29 7?228>;7c<64;37?>i6:00;6)<65;374>h51=0:965`15:94?"51<0:8=5a286953=<6=4+28795166<:?;o0:0?7?32e:884?:%0:1?7382d9594>9:9l512=83.9584>419m6<2=9h10c<:<:18'6<3=9=:0b?7;:0`8?j73:3:1(?7::063?k4><3;h76a>3d83>!4>=3;?<6`=9582`>=h9:91<7*=948205=i:0>1=h54o00;>5<#:0?1=9>4n3;7>4`<3`n<<7>5$3;6>a0a3g8287>4;hf5a?6=,;3>6i8i;o0:0?7<3`n=h7>5$3;6>a0a3g8287<4;hf5g?6=,;3>6i8i;o0:0?5<3`n86=4+2879`7=i:0>1<65fd083>!4>=3n97c<64;38?lea290/>4;5d39m6<2=:21boh4?:%0:1?b53g8287=4;hag>5<#:0?1h?5a28690>=nkj0;6)<65;f1?k4><3?07dmm:18'6<3=l;1e>4:56:9jgd<72-8297j=;o0:0?1<3`i26=4+2879`7=i:0>1465fc983>!4>=3n97c<64;;8?le0290/>4;5d39m6<2=i21bo;4?:%0:1?b53g8287l4;ha7>5<#:0?1h?5a2869g>=nk:0;6)<65;f1?k4><3n07dm=:18'6<3=l;1e>4:5e:9jg4<72-8297j=;o0:0?`<3`i;6=4+2879`7=i:0>1==54icd94?"51<0o>6`=95825>=njl0;6)<65;f1?k4><3;976gmd;29 7?22m80b?7;:018?ldd290/>4;5d39m6<2=9=10eol50;&1=05:9j`d<72-8297j=;o0:0?7132co57>5$3;6>a45<#:0?1h?5a28695==h51=0:565fd783>!4>=3n97c<64;3b?>oc=3:1(?7::e08j7?328h07dj;:18'6<3=l;1e>4:51b98ma6=83.9584k2:l1=1<6l21bo84?:%0:1?b53g8287?j;:kae?6=,;3>6i<4n3;7>4`<3th>hk4?:ca94?6|,;hh6?7k;If41>Nc>81Q584l{3`96f<303>h69754e87e?5a2:o18=4;b;1g>x"50?0onk5+1dd93>"6n90<7)?i1;58 4`52>1/=k=57:&2b1<03-;m9794$0d5>2=#9o=1;6*>f984?!7a13=0("5890<7)1/>==57:&141<03-8;9794$325>2=#:9=1;6*=0984?!4713=0(?>n:69'65d=?2.9"5990<7)<>1;58 7752>1/><=57:&151<03-8:9794$335>2=#:8=1;6*=1984?!4613=0(??n:69'64d=?2.9=n48;%02`?1<,;;n6:5+20d93>"5:90<7)<=1;58 7452>1/>?=57:&161<03-899794$305>2=#:;=1;6*=2984?!4513=0(?n48;%01`?1<,;8n6:5+23d92>"5;90=7)"5j<0=7)"6mh0<7)?j8;58 4c>2>1/=hl57:&2aa<03-;n;794$0g5>2=#9li1;6`m7;68jg>=<2d:>:4?;o37=?6<,8<26?lm;%35e?4ej2.9m846a:&1e3<>i2.9m94=b99'6g6=:k80(?=>:3:1?l4f83:17do;:188m40?2900e?o<:188md?=831b>l?50;9j6d4=831b=;950;9j556=83.9584if:l1=1<732cmi7>5$3;6>c`oak3:1(?7::gd8j7?32:10ei8m:18'6<3=l?k0b?7;:198ma0>290/>4;5d7c8j7?32810ei87:18'6<3=l?k0b?7;:398ma00290/>4;5d7c8j7?32:10c4;510g8j7?32810c4;510g8j7?32:10c4;510g8j7?32<10c4;510g8j7?32>10c4;510g8j7?32010c4;510g8j7?32k10c4;510g8j7?32m10c<>j:18'6<3=98o0b?7;:d98k46c290/>4;510g8j7?32o10c<>l:18'6<3=98o0b?7;:028?j77j3:1(?7::03f?k4><3;:76a>0`83>!4>=3;:i6`=95826>=h9931<7*=94825`=i:0>1=>54o02;>5<#:0?1=42<3f;;;7>5$3;6>47b3g8287?:;:m263<72-8297?>e:l1=1<6>21d=?;50;&1=0<69l1e>4:51698k443290/>4;510g8j7?328207b?=3;29 7?228;n7c<64;3:?>i6:;0;6)<65;32a>h51=0:m65`13394?"51<0:=h5a28695g=6e:9l550=83.9584>1d9m6<2=9o10e:;50;&1=0<0<2d9594?;:k47?6=,;3>6::4n3;7>4=81<7*=94840>h51=0976g81;29 7?22>>0b?7;:298m2c=83.958484:l1=1<332c5$3;6>22o0j3:1(?7::668j7?32>10e:o50;&1=0<0<2d95947;:k4=?6=,;3>6::4n3;7><=21<7*=94840>h51=0j76g87;29 7?22>>0b?7;:c98m20=83.958484:l1=15$3;6>22o?:3:1(?7::918j7?32810e5?50;&1=065=4n3;7>6=h51=0?76g7c;29 7?22190b?7;:498m=d=83.958473:l1=1<132c3m7>5$3;6>=5o?03:1(?7::918j7?32010e5950;&1=065=4n3;7>g=h51=0h76g8f;29 7?22190b?7;:e98mc2=83.9584i3:l1=1<732cm>7>5$3;6>c5obn3:1(?7::g18j7?32:10ehk50;&1=06k=4n3;7>0=h51=0=76gjb;29 7?22o90b?7;:698m`g=83.9584i3:l1=15$3;6>c5ob?3:1(?7::g18j7?32k10eh;50;&1=06k=4n3;7>a=h51=0n76gj2;29 7?22o90b?7;:g98m`7=83.9584i3:l1=1<6821bi=4?:%0:1?`43g8287?>;:kgb?6=,;3>6k=4n3;7>44<3`nn6=4+2879b6=i:0>1=>54ief94?"51<0m?6`=95820>=nlj0;6)<65;d0?k4><3;>76gib;29 7?22o90b?7;:048?l`f290/>4;5f29m6<2=9>10ek750;&1=08:9jb=<72-8297h<;o0:0?7>32cm;7>5$3;6>c55<#:0?1j>5a28695g=h51=0:o65ff083>!4>=3l87c<64;3g?>ob>3:1(?7::g18j7?328o07djm:18'6<3=n:1e>4:51g98k42b290/>4;515f8j7?32910c<:l:18'6<3=9=n0b?7;:098k42e290/>4;515f8j7?32;10c<:n:18'6<3=9=n0b?7;:298m462290/>4;51168j7?32910e<><:18'6<3=99>0b?7;:098m465290/>4;51168j7?32;10e<>>:18'6<3=99>0b?7;:298k434290/>4;51408j7?32910c<;>:18'6<3=9<80b?7;:098k437290/>4;51408j7?32;10c<:i:18'6<3=9<80b?7;:298k426290/>4;51528j7?32910c<=i:18'6<3=9=:0b?7;:098k45c290/>4;51528j7?32;10c<=l:18'6<3=9=:0b?7;:298k45e290/>4;51528j7?32=10c<=n:18'6<3=9=:0b?7;:498k45>290/>4;51528j7?32?10c<=7:18'6<3=9=:0b?7;:698k450290/>4;51528j7?32110c<=9:18'6<3=9=:0b?7;:898k452290/>4;51528j7?32h10c<=;:18'6<3=9=:0b?7;:c98k455290/>4;51528j7?32j10c<=>:18'6<3=9=:0b?7;:e98k457290/>4;51528j7?32l10c<4;51528j7?328:07b?=d;29 7?228>;7c<64;32?>i6:j0;6)<65;374>h51=0:>65`13`94?"51<0:8=5a286956=6<:?;o0:0?7232e:854?:%0:1?7382d9594>6:9l511=83.9584>419m6<2=9>10c<:9:18'6<3=9=:0b?7;:0:8?j73=3:1(?7::063?k4><3;276a>4583>!4>=3;?<6`=9582e>=h9=91<7*=948205=i:0>1=o54o061>5<#:0?1=9>4n3;7>4e<3f;8i7>5$3;6>4273g8287?k;:m276<72-8297?;0:l1=1<6m21d=?650;&1=0<6<91e>4:51g98ma17290/>4;5d7d8j7?32910ei8j:18'6<3=l?l0b?7;:098ma0c290/>4;5d7d8j7?32;10ei8l:18'6<3=l?l0b?7;:298ma5=83.9584k2:l1=1<732co=7>5$3;6>a46`=9581?>odm3:1(?7::e08j7?32:10enj50;&1=06i<4n3;7>0=h51=0=76gla;29 7?22m80b?7;:698mf?=83.9584k2:l1=15$3;6>a46`=958b?>od>3:1(?7::e08j7?32k10en:50;&1=06i<4n3;7>a=h51=0n76gl1;29 7?22m80b?7;:g98mf6=83.9584k2:l1=1<6821bnk4?:%0:1?b53g8287?>;:kaa?6=,;3>6i<4n3;7>44<3`ho6=4+2879`7=i:0>1=>54ica94?"51<0o>6`=95820>=njk0;6)<65;f1?k4><3;>76gka;29 7?22m80b?7;:048?lb>290/>4;5d39m6<2=9>10ei650;&1=08:9j`2<72-8297j=;o0:0?7>32co:7>5$3;6>a45<#:0?1h?5a28695g=1<7*=948g6>h51=0:o65fd183>!4>=3n97c<64;3g?>od=3:1(?7::e08j7?328o07dln:18'6<3=l;1e>4:51g98yg3ck3:1nn4?:1y'6ge=:0n0Di9:;If55>\>=3ip>o4=c;6;>1e=<00?h7:n:2d97`<383>i6>j5}%0;2?ben2.:ik48;%3e4?1<,8l:6:5+1g093>"6n:0<7)?i4;58 4`22>1/=k857:&2b2<03-;m4794$0d:>2=#9ok1;6*>fc84?!7ak3=0("58:0<7)1/>=857:&142<03-8;4794$32:>2=#:9k1;6*=0c84?!47k3=0(?>k:69'65c=?2.9"59:0<7)<>4;58 7722>1/><857:&152<03-8:4794$33:>2=#:8k1;6*=1c84?!46k3=0(??k:69'64c=?2.9=k48;%014?1<,;8:6:5+23093>"5::0<7)<=4;58 7422>1/>?857:&162<03-894794$30:>2=#:;k1;6*=2c84?!45k3=0(?k49;%004?0<,;km6?6=;%0a1?0<,;h=6;5+d6396<`<,m=96?7i;%3fe?1<,8o36:5+1d;93>"6mk0<7)?jd;58 4c02>1/=h857:&2af<03gh<695ab987?k75?3:0b<:6:19'53?=:kh0(<8n:3`a?!4f=33j7)5<4i3c3>5<1<75f17:94?=n:h91<75fa883>>o5i80;66g=a383>>o6>>0;66g>0183>!4>=3lm7c<64;28?l`b290/>4;5fg9m6<2=921bji4?:%0:1?`a3g8287<4;hd`>5<#:0?1jk5a28697>=nl?h1<7*=948g2d=i:0>1<65fd7;94?"51<0o:l5a28695>=nl?21<7*=948g2d=i:0>1>65fd7594?"51<0o:l5a28697>=h98l1<7*=94825`=i:0>1<65`10f94?"51<0:=h5a28695>=h98h1<7*=94825`=i:0>1>65`10c94?"51<0:=h5a28697>=h9831<7*=94825`=i:0>1865`10:94?"51<0:=h5a28691>=h98=1<7*=94825`=i:0>1:65`10494?"51<0:=h5a28693>=h98?1<7*=94825`=i:0>1465`10694?"51<0:=h5a2869=>=h9891<7*=94825`=i:0>1m65`10094?"51<0:=h5a2869f>=h98:1<7*=94825`=i:0>1o65`11d94?"51<0:=h5a2869`>=h99o1<7*=94825`=i:0>1i65`11f94?"51<0:=h5a2869b>=h99i1<7*=94825`=i:0>1==54o02a>5<#:0?1=47<3f;;m7>5$3;6>47b3g8287?=;:m24<<72-8297?>e:l1=1<6;21d==650;&1=0<69l1e>4:51598k460290/>4;510g8j7?328?07b?=6;29 7?228;n7c<64;35?>i6:<0;6)<65;32a>h51=0:;65`13694?"51<0:=h5a28695==6<4?:%0:1?76m2d9594>b:9l576=83.9584>1d9m6<2=9j10c<3;n76a>0783>!4>=3;:i6`=9582b>=n?<0;6)<65;57?k4><3:07d9<:18'6<3=?=1e>4:51:9j37<72-82979;;o0:0?4<3`=:6=4+287931=i:0>1?65f7d83>!4>=3=?7c<64;68?l1c290/>4;5759m6<2==21b;n4?:%0:1?133g828784;h5a>5<#:0?1;95a28693>=n?h0;6)<65;57?k4><3207d96:18'6<3=?=1e>4:59:9j3=<72-82979;;o0:0?g<3`=<6=4+287931=i:0>1n65f7783>!4>=3=?7c<64;a8?l17290/>4;5759m6<2=l21b494?:%0:1?>43g8287>4;h:1>5<#:0?14>5a28695>=n080;6)<65;:0?k4><3807d6?:18'6<3=0:1e>4:53:9j1965f8c83>!4>=3287c<64;48?l>f290/>4;5829m6<2=?21b444?:%0:1?>43g828764;h:;>5<#:0?14>5a2869=>=n0>0;6)<65;:0?k4><3k07d69:18'6<3=0:1e>4:5b:9j<0<72-82976<;o0:0?e<3`=m6=4+2879<6=i:0>1h65ff583>!4>=3l87c<64;28?l`5290/>4;5f29m6<2=921bj=4?:%0:1?`43g8287<4;hge>5<#:0?1j>5a28697>=nml0;6)<65;d0?k4><3>07dkk:18'6<3=n:1e>4:55:9jaf<72-8297h<;o0:0?0<3`oi6=4+2879b6=i:0>1;65fe`83>!4>=3l87c<64;:8?lc>290/>4;5f29m6<2=121bi54?:%0:1?`43g8287o4;hg4>5<#:0?1j>5a2869f>=nm<0;6)<65;d0?k4><3i07dk;:18'6<3=n:1e>4:5d:9ja6<72-8297h<;o0:0?c<3`o96=4+2879b6=i:0>1j65fe083>!4>=3l87c<64;33?>ob83:1(?7::g18j7?328;07dji:18'6<3=n:1e>4:51398mac=83.9584i3:l1=1<6;21bhi4?:%0:1?`43g8287?;;:kgg?6=,;3>6k=4n3;7>43<3`li6=4+2879b6=i:0>1=;54igc94?"51<0m?6`=95823>=nn00;6)<65;d0?k4><3;376gi8;29 7?22o90b?7;:0;8?l`0290/>4;5f29m6<2=9h10ek850;&1=0b:9jb0<72-8297h<;o0:0?7d32cm=7>5$3;6>c55<#:0?1j>5a28695`=h51=0:j65`15g94?"51<0:8i5a28694>=h9=i1<7*=94820a=i:0>1=65`15`94?"51<0:8i5a28696>=h9=k1<7*=94820a=i:0>1?65f11794?"51<0:<95a28694>=n9991<7*=948241=i:0>1=65f11094?"51<0:<95a28696>=n99;1<7*=948241=i:0>1?65`14194?"51<0:9?5a28694>=h9<;1<7*=948217=i:0>1=65`14294?"51<0:9?5a28696>=h9=l1<7*=948217=i:0>1?65`15394?"51<0:8=5a28694>=h9:l1<7*=948205=i:0>1=65`12f94?"51<0:8=5a28696>=h9:i1<7*=948205=i:0>1?65`12`94?"51<0:8=5a28690>=h9:k1<7*=948205=i:0>1965`12;94?"51<0:8=5a28692>=h9:21<7*=948205=i:0>1;65`12594?"51<0:8=5a2869<>=h9:<1<7*=948205=i:0>1565`12794?"51<0:8=5a2869e>=h9:>1<7*=948205=i:0>1n65`12094?"51<0:8=5a2869g>=h9:;1<7*=948205=i:0>1h65`12294?"51<0:8=5a2869a>=h9;l1<7*=948205=i:0>1j65`13g94?"51<0:8=5a286955=6<:?;o0:0?7532e:>o4?:%0:1?7382d9594>3:9l57g=83.9584>419m6<2=9=10c<<6:18'6<3=9=:0b?7;:078?j7303:1(?7::063?k4><3;=76a>4683>!4>=3;?<6`=95823>=h9=<1<7*=948205=i:0>1=554o066>5<#:0?1=9>4n3;7>4?<3f;?87>5$3;6>4273g8287?n;:m206<72-8297?;0:l1=1<6j21d=9<50;&1=0<6<91e>4:51b98k45b290/>4;51528j7?328n07b?<3;29 7?228>;7c<64;3f?>i6:10;6)<65;374>h51=0:j65fd6294?"51<0o:k5a28694>=nl?o1<7*=948g2c=i:0>1=65fd7f94?"51<0o:k5a28696>=nl?i1<7*=948g2c=i:0>1?65fd283>!4>=3n97c<64;28?lb6290/>4;5d39m6<2=921bok4?:%0:1?b53g8287<4;haf>5<#:0?1h?5a28697>=nkm0;6)<65;f1?k4><3>07dml:18'6<3=l;1e>4:55:9jgg<72-8297j=;o0:0?0<3`ij6=4+2879`7=i:0>1;65fc883>!4>=3n97c<64;:8?le?290/>4;5d39m6<2=121bo:4?:%0:1?b53g8287o4;ha5>5<#:0?1h?5a2869f>=nk=0;6)<65;f1?k4><3i07dm<:18'6<3=l;1e>4:5d:9jg7<72-8297j=;o0:0?c<3`i:6=4+2879`7=i:0>1j65fc183>!4>=3n97c<64;33?>oen3:1(?7::e08j7?328;07dlj:18'6<3=l;1e>4:51398mgb=83.9584k2:l1=1<6;21bnn4?:%0:1?b53g8287?;;:kaf?6=,;3>6i<4n3;7>43<3`nj6=4+2879`7=i:0>1=;54ie;94?"51<0o>6`=95823>=nl10;6)<65;f1?k4><3;376gk7;29 7?22m80b?7;:0;8?lb1290/>4;5d39m6<2=9h10ei;50;&1=0b:9j`1<72-8297j=;o0:0?7d32co<7>5$3;6>a45<#:0?1h?5a28695`=h51=0:j65rb4f:>5?0Di8>;[;6>f}5j38h69654b87=?2c2=k1?k41d=;m0v(?69:e`e?!7bn3=0("6n?0<7)?i7;58 4`?2>1/=k757:&2bd<03-;mn794$0d`>2=#9on1;6*>fd84?!7an3=0(?>?:69'657=?2.9"58?0<7)1/>=757:&14d<03-8;n794$32`>2=#:9n1;6*=0d84?!47n3=0(???:69'647=?2.9=?48;%027?1<,;;?6:5+20793>"59?0<7)<>7;58 77?2>1/><757:&15d<03-8:n794$33`>2=#:8n1;6*=1d84?!46n3=0(??48;%017?1<,;8?6:5+23793>"5:?0<7)<=7;58 74?2>1/>?757:&16d<03-89n794$30`>2=#:;n1;6*=2d84?!45n3<0(?=?:79'6d`=:180(?l::79'6g0=>2.o;<4=9g9'`24=:0l0(=?2.:i448;%3ff?1<,8oo6:5+1d593>"6m?0<7)?jc;58jg1=<2di47:4n004>5=i9=31<6*>6881fg=#9?k1>ol4$3c6>7d?3-8i<7l>50;9je1<722c::54?::k1e6<722cj57>5;h0b5?6=3`8j>7>5;h353?6=3`;;<7>5$3;6>c`oal3:1(?7::gd8j7?32;10ekm50;&1=0;:kg2=<72-8297j9a:l1=1<532co::4?:%0:1?b1i2d9594<;:m25c<72-8297?>e:l1=1<732e:=i4?:%0:1?76m2d9594>;:m25g<72-8297?>e:l1=1<532e:=l4?:%0:1?76m2d9594<;:m25<<72-8297?>e:l1=1<332e:=54?:%0:1?76m2d9594:;:m252<72-8297?>e:l1=1<132e:=;4?:%0:1?76m2d95948;:m250<72-8297?>e:l1=1e:l1=1e:l1=1e:l1=1e:l1=1<6821d==l50;&1=0<69l1e>4:51098k46f290/>4;510g8j7?328807b??9;29 7?228;n7c<64;30?>i6810;6)<65;32a>h51=0:865`11594?"51<0:=h5a286950=694?:%0:1?76m2d9594>8:9l575=83.9584>1d9m6<2=9010c<<=:18'6<3=98o0b?7;:0c8?j7593:1(?7::03f?k4><3;i76a>2183>!4>=3;:i6`=9582g>=h98i1<7*=94825`=i:0>1=i54o032>5<#:0?1=4c<3f;;:7>5$3;6>47b3g8287?i;:k41?6=,;3>6::4n3;7>5=91<7*=94840>h51=0:76g82;29 7?22>>0b?7;:398m27=83.958484:l1=1<432c5$3;6>22o0k3:1(?7::668j7?32?10e:l50;&1=0<0<2d95948;:k4e?6=,;3>6::4n3;7>==31<7*=94840>h51=0276g88;29 7?22>>0b?7;:`98m21=83.958484:l1=15$3;6>22o?<3:1(?7::918j7?32910e5<50;&1=0;:k;5?6=,;3>65=4n3;7>7=h51=0876g7d;29 7?22190b?7;:598m=e=83.958473:l1=1<232c3n7>5$3;6>=5o?13:1(?7::918j7?32110e5650;&1=065=4n3;7>d=h51=0i76g75;29 7?22190b?7;:b98m2`=83.958473:l1=15$3;6>c5oa83:1(?7::g18j7?32;10ehh50;&1=06k=4n3;7>1=h51=0>76gjc;29 7?22o90b?7;:798m`d=83.9584i3:l1=1<032cnm7>5$3;6>c5ob03:1(?7::g18j7?32h10eh950;&1=06k=4n3;7>f=1<7*=948e7>h51=0o76gj3;29 7?22o90b?7;:d98m`4=83.9584i3:l1=15$3;6>c54;hg3>5<#:0?1j>5a286954=h51=0:>65fdd83>!4>=3l87c<64;30?>ocl3:1(?7::g18j7?328>07djl:18'6<3=n:1e>4:51498mcd=83.9584i3:l1=1<6>21bjl4?:%0:1?`43g8287?8;:ke=?6=,;3>6k=4n3;7>4><3`l36=4+2879b6=i:0>1=454ig594?"51<0m?6`=9582e>=nn?0;6)<65;d0?k4><3;i76gi5;29 7?22o90b?7;:0a8?l`6290/>4;5f29m6<2=9m10eh850;&1=0e:9j`g<72-8297h<;o0:0?7a32e:8h4?:%0:1?73l2d9594?;:m20f<72-8297?;d:l1=1<632e:8o4?:%0:1?73l2d9594=;:m20d<72-8297?;d:l1=1<432c:<84?:%0:1?77<2d9594?;:k246<72-8297??4:l1=1<632c:4?:%0:1?72:2d9594?;:m214<72-8297?:2:l1=1<632e:9=4?:%0:1?72:2d9594=;:m20c<72-8297?:2:l1=1<432e:8<4?:%0:1?7382d9594?;:m27c<72-8297?;0:l1=1<632e:?i4?:%0:1?7382d9594=;:m27f<72-8297?;0:l1=1<432e:?o4?:%0:1?7382d9594;;:m27d<72-8297?;0:l1=1<232e:?44?:%0:1?7382d95949;:m27=<72-8297?;0:l1=1<032e:?:4?:%0:1?7382d95947;:m273<72-8297?;0:l1=1<>32e:?84?:%0:1?7382d9594n;:m271<72-8297?;0:l1=1h4?:%0:1?7382d9594>0:9l57b=83.9584>419m6<2=9810c<<3;876a>2`83>!4>=3;?<6`=95820>=h9;31<7*=948205=i:0>1=854o06;>5<#:0?1=9>4n3;7>40<3f;?;7>5$3;6>4273g8287?8;:m203<72-8297?;0:l1=1<6021d=9;50;&1=0<6<91e>4:51898k423290/>4;51528j7?328k07b?;3;29 7?228>;7c<64;3a?>i6<;0;6)<65;374>h51=0:o65`12g94?"51<0:8=5a28695a=6<:?;o0:0?7a32co;=4?:%0:1?b1n2d9594?;:kg2`<72-8297j9f:l1=1<632co:i4?:%0:1?b1n2d9594=;:kg2f<72-8297j9f:l1=1<432co?7>5$3;6>a46`=9582?>odn3:1(?7::e08j7?32;10enk50;&1=06i<4n3;7>1=h51=0>76glb;29 7?22m80b?7;:798mfg=83.9584k2:l1=1<032ch57>5$3;6>a46`=958:?>od?3:1(?7::e08j7?32h10en850;&1=06i<4n3;7>f=h51=0o76gl2;29 7?22m80b?7;:d98mf7=83.9584k2:l1=15$3;6>a44;h`e>5<#:0?1h?5a286954=h51=0:>65fbe83>!4>=3n97c<64;30?>oek3:1(?7::e08j7?328>07dlm:18'6<3=l;1e>4:51498mag=83.9584k2:l1=1<6>21bh44?:%0:1?b53g8287?8;:kg6i<4n3;7>4><3`n<6=4+2879`7=i:0>1=454ie494?"51<0o>6`=9582e>=nl<0;6)<65;f1?k4><3;i76gk4;29 7?22m80b?7;:0a8?lb7290/>4;5d39m6<2=9m10en;50;&1=0e:9jfd<72-8297j=;o0:0?7a32wi9i850;``>5<7s-8io7<6d:Jg30=Ol?;0V4;5cz0a>7e=<10?o7:6:5f90d<4n39n69>54c80`?{#:1<1hoh4$0ge>2=#9o:1;6*>f084?!7a:3=0("6n00<7)?ia;58 4`e2>1/=km57:&2ba<03-;mi794$0de>2=#:9:1;6*=0084?!47:3=0(?><:69'652=?2.9<848;%032?1<,;:<6:5+21:93>"5800<7)1/>=m57:&14a<03-8;i794$32e>2=#:8:1;6*=1084?!46:3=0(??<:69'642=?2.9=848;%022?1<,;;<6:5+20:93>"5900<7)<>a;58 77e2>1/>2=#:;:1;6*=2084?!45:3=0(?<<:69'672=?2.9>848;%012?1<,;8<6:5+23:93>"5:00<7)<=a;58 74e2>1/>?m57:&16a<03-89i794$30e>3=#:::1:6*=ag81<7=#:k?1:6*=b785?!b09382j6*k7381=c=#9lk1;6*>e984?!7b13=0(l;59`9'6d0=1h1/>l:52c:8 7d72;h97)<<1;0;6>o5i90;66gn4;29?l7103:17d2900e?o>:188m7g52900e<88:188m467290/>4;5fg9m6<2=821bjh4?:%0:1?`a3g8287?4;hdg>5<#:0?1jk5a28696>=nnj0;6)<65;de?k4><3907dj9b;29 7?22m<3;07dj98;29 7?22m<3907b?>f;29 7?228;n7c<64;28?j76l3:1(?7::03f?k4><3;07b?>b;29 7?228;n7c<64;08?j76i3:1(?7::03f?k4><3907b?>9;29 7?228;n7c<64;68?j7603:1(?7::03f?k4><3?07b?>7;29 7?228;n7c<64;48?j76>3:1(?7::03f?k4><3=07b?>5;29 7?228;n7c<64;:8?j76<3:1(?7::03f?k4><3307b?>3;29 7?228;n7c<64;c8?j76:3:1(?7::03f?k4><3h07b?>0;29 7?228;n7c<64;a8?j77n3:1(?7::03f?k4><3n07b??e;29 7?228;n7c<64;g8?j77l3:1(?7::03f?k4><3l07b??c;29 7?228;n7c<64;33?>i68k0;6)<65;32a>h51=0:=65`11c94?"51<0:=h5a286957=65:9l570=83.9584>1d9m6<2=9?10c<<::18'6<3=98o0b?7;:058?j75<3:1(?7::03f?k4><3;376a>2283>!4>=3;:i6`=9582=>=h9;81<7*=94825`=i:0>1=l54o002>5<#:0?1=4d<3f;9<7>5$3;6>47b3g8287?l;:m25f<72-8297?>e:l1=1<6l21d=4:51d98k461290/>4;510g8j7?328l07d9::18'6<3=?=1e>4:50:9j36<72-82979;;o0:0?7<3`=96=4+287931=i:0>1>65f7083>!4>=3=?7c<64;18?l1b290/>4;5759m6<2=<21b;i4?:%0:1?133g8287;4;h5`>5<#:0?1;95a28692>=n?k0;6)<65;57?k4><3=07d9n:18'6<3=?=1e>4:58:9j3<<72-82979;;o0:0??<3`=36=4+287931=i:0>1m65f7683>!4>=3=?7c<64;`8?l11290/>4;5759m6<2=k21b;=4?:%0:1?133g8287j4;h:7>5<#:0?14>5a28694>=n0;0;6)<65;:0?k4><3;07d6>:18'6<3=0:1e>4:52:9j<5<72-82976<;o0:0?5<3`2o6=4+2879<6=i:0>1865f8b83>!4>=3287c<64;78?l>e290/>4;5829m6<2=>21b4l4?:%0:1?>43g828794;h::>5<#:0?14>5a2869<>=n010;6)<65;:0?k4><3307d68:18'6<3=0:1e>4:5a:9j<3<72-82976<;o0:0?d<3`2>6=4+2879<6=i:0>1o65f7g83>!4>=3287c<64;f8?l`3290/>4;5f29m6<2=821bj?4?:%0:1?`43g8287?4;hd3>5<#:0?1j>5a28696>=nmo0;6)<65;d0?k4><3907dkj:18'6<3=n:1e>4:54:9jaa<72-8297h<;o0:0?3<3`oh6=4+2879b6=i:0>1:65fec83>!4>=3l87c<64;58?lcf290/>4;5f29m6<2=021bi44?:%0:1?`43g828774;hg;>5<#:0?1j>5a2869e>=nm>0;6)<65;d0?k4><3h07dk::18'6<3=n:1e>4:5c:9ja1<72-8297h<;o0:0?b<3`o86=4+2879b6=i:0>1i65fe383>!4>=3l87c<64;d8?lc6290/>4;5f29m6<2=9910eh>50;&1=01:9j`c<72-8297h<;o0:0?7532coi7>5$3;6>c55<#:0?1j>5a286951=h51=0:965ffc83>!4>=3l87c<64;35?>oai3:1(?7::g18j7?328=07dh6:18'6<3=n:1e>4:51998mc>=83.9584i3:l1=1<6121bj:4?:%0:1?`43g8287?n;:ke2?6=,;3>6k=4n3;7>4d<3`l>6=4+2879b6=i:0>1=n54ig394?"51<0m?6`=9582`>=nm?0;6)<65;d0?k4><3;n76gkb;29 7?22o90b?7;:0d8?j73m3:1(?7::06g?k4><3:07b?;c;29 7?228>o7c<64;38?j73j3:1(?7::06g?k4><3807b?;a;29 7?228>o7c<64;18?l77=3:1(?7::027?k4><3:07d??3;29 7?228:?7c<64;38?l77:3:1(?7::027?k4><3807d??1;29 7?228:?7c<64;18?j72;3:1(?7::071?k4><3:07b?:1;29 7?228?97c<64;38?j7283:1(?7::071?k4><3807b?;f;29 7?228?97c<64;18?j7393:1(?7::063?k4><3:07b?;7c<64;38?j74l3:1(?7::063?k4><3807b?;7c<64;18?j74j3:1(?7::063?k4><3>07b?;7c<64;78?j7413:1(?7::063?k4><3<07b?<8;29 7?228>;7c<64;58?j74?3:1(?7::063?k4><3207b?<6;29 7?228>;7c<64;;8?j74=3:1(?7::063?k4><3k07b?<4;29 7?228>;7c<64;`8?j74:3:1(?7::063?k4><3i07b?<1;29 7?228>;7c<64;f8?j7483:1(?7::063?k4><3o07b?=f;29 7?228>;7c<64;d8?j75m3:1(?7::063?k4><3;;76a>2e83>!4>=3;?<6`=95825>=h9;i1<7*=948205=i:0>1=?54o00a>5<#:0?1=9>4n3;7>45<3f;9m7>5$3;6>4273g8287?;;:m26<<72-8297?;0:l1=1<6=21d=9650;&1=0<6<91e>4:51798k420290/>4;51528j7?328=07b?;6;29 7?228>;7c<64;3;?>i6<<0;6)<65;374>h51=0:565`15694?"51<0:8=5a28695d=86=4+28795166<:?;o0:0?7d32e:?h4?:%0:1?7382d9594>d:9l565=83.9584>419m6<2=9l10c<<7:18'6<3=9=:0b?7;:0d8?lb083:1(?7::e4e?k4><3:07dj9e;29 7?22m<3807dj9c;29 7?22m4;5d39m6<2=821bh<4?:%0:1?b53g8287?4;hae>5<#:0?1h?5a28696>=nkl0;6)<65;f1?k4><3907dmk:18'6<3=l;1e>4:54:9jgf<72-8297j=;o0:0?3<3`ii6=4+2879`7=i:0>1:65fc`83>!4>=3n97c<64;58?le>290/>4;5d39m6<2=021bo54?:%0:1?b53g828774;ha4>5<#:0?1h?5a2869e>=nk?0;6)<65;f1?k4><3h07dm;:18'6<3=l;1e>4:5c:9jg6<72-8297j=;o0:0?b<3`i96=4+2879`7=i:0>1i65fc083>!4>=3n97c<64;d8?le7290/>4;5d39m6<2=9910eoh50;&1=01:9jf`<72-8297j=;o0:0?7532cih7>5$3;6>a45<#:0?1h?5a286951=h51=0:965fd`83>!4>=3n97c<64;35?>oc13:1(?7::e08j7?328=07dj7:18'6<3=l;1e>4:51998ma1=83.9584k2:l1=1<6121bh;4?:%0:1?b53g8287?n;:kg1?6=,;3>6i<4n3;7>4d<3`n?6=4+2879`7=i:0>1=n54ie294?"51<0o>6`=9582`>=nk<0;6)<65;f1?k4><3;n76gma;29 7?22m80b?7;:0d8?xd2l:0;6om50;2x 7dd2;3o7Ej85:Jg24=]1<0hw?l52b876c=<90?n7=k:|&1<32=#9o91;6*>f584?!7a=3=0("6nj0<7)?id;58 4`b2>1/=kh57:&145<03-8;=794$321>2=#:991;6*=0584?!47=3=0(?>9:69'651=?2.9<548;%03=?1<,;:j6:5+21`93>"58j0<7)1/>=h57:&155<03-8:=794$331>2=#:891;6*=1584?!46=3=0(??9:69'641=?2.9=548;%02=?1<,;;j6:5+20`93>"59j0<7)<>d;58 77b2>1/>2=#:;91;6*=2584?!45=3=0(?<9:69'671=?2.9>548;%01=?1<,;8j6:5+23`93>"5:j0<7)<=d;58 74b2>1/>?h56:&175<13-8jj7<72:&1f0<13-8i:784$e52>7?a3-n<>7<6f:&2ad<03-;n4794$0g:>2=#9lh1;6*>ee84?!7b?3=0(h6:>0;7c?;9;28 40>2;hi7)?9a;0af>"5i<02m6*=a78:e>"5i=09n55+2c296g4<,;9:6?6=;h0b4?6=3`k?6=44i04;>5<oam3:1(?7::gd8j7?32810ekj50;&1=06kh4n3;7>6=5<#:0?1h;o4n3;7>4=5<#:0?1h;o4n3;7>6=5<#:0?1=4=5<#:0?1=6=5<#:0?1=0=5<#:0?1=2=6=4+287954c5<#:0?1=<=5<#:0?1=g=5<#:0?1=a=5<#:0?1=c=4;n33f?6=,;3>62:9l55?=83.9584>1d9m6<2=9:10c<>7:18'6<3=98o0b?7;:068?j77?3:1(?7::03f?k4><3;>76a>2783>!4>=3;:i6`=95822>=h9;?1<7*=94825`=i:0>1=:54o007>5<#:0?1=4><3f;9?7>5$3;6>47b3g8287?6;:m267<72-8297?>e:l1=1<6i21d=??50;&1=0<69l1e>4:51c98k447290/>4;510g8j7?328i07b?>c;29 7?228;n7c<64;3g?>i6980;6)<65;32a>h51=0:i65`11494?"51<0:=h5a28695c=?1<7*=94840>h51=0;76g83;29 7?22>>0b?7;:098m24=83.958484:l1=1<532c<=7>5$3;6>2254i6g94?"51<0<86`=9587?>o0l3:1(?7::668j7?32<10e:m50;&1=0<0<2d95949;:k4f?6=,;3>6::4n3;7>2=k1<7*=94840>h51=0376g89;29 7?22>>0b?7;:898m2>=83.958484:l1=15$3;6>22o083:1(?7::668j7?32m10e5:50;&1=065=4n3;7>4=h51=0976g70;29 7?22190b?7;:298m=b=83.958473:l1=1<332c3o7>5$3;6>=5o?i3:1(?7::918j7?32>10e5750;&1=065=4n3;7><=h51=0j76g76;29 7?22190b?7;:c98m=3=83.958473:l1=15$3;6>=5oa:3:1(?7::g18j7?32810ek>50;&1=06k=4n3;7>6=h51=0?76gjd;29 7?22o90b?7;:498m`e=83.9584i3:l1=1<132cnn7>5$3;6>c5ob13:1(?7::g18j7?32010eh650;&1=06k=4n3;7>g=h51=0h76gj4;29 7?22o90b?7;:e98m`5=83.9584i3:l1=17>5$3;6>c5=nm90;6)<65;d0?k4><3;:76gkf;29 7?22o90b?7;:008?lbb290/>4;5f29m6<2=9:10eij50;&1=04:9j`f<72-8297h<;o0:0?7232cmn7>5$3;6>c55<#:0?1j>5a286952=h51=0:465ff983>!4>=3l87c<64;3:?>oa?3:1(?7::g18j7?328k07dh9:18'6<3=n:1e>4:51c98mc3=83.9584i3:l1=1<6k21bj<4?:%0:1?`43g8287?k;:kf2?6=,;3>6k=4n3;7>4c<3`ni6=4+2879b6=i:0>1=k54o06f>5<#:0?1=9j4n3;7>5=h6=4+287951b5<#:0?1=9j4n3;7>7=j6=4+287951b54i026>5<#:0?1==:4n3;7>5=5<#:0?1==:4n3;7>7=54o070>5<#:0?1=8<4n3;7>5=5<#:0?1=8<4n3;7>7=m6=4+287950454o062>5<#:0?1=9>4n3;7>5=5<#:0?1=9>4n3;7>7=54o01a>5<#:0?1=9>4n3;7>1=5<#:0?1=9>4n3;7>3=5<#:0?1=9>4n3;7>==5<#:0?1=9>4n3;7>d=5<#:0?1=9>4n3;7>f=5<#:0?1=9>4n3;7>`=5<#:0?1=9>4n3;7>46<3f;9h7>5$3;6>4273g8287?>;:m26f<72-8297?;0:l1=1<6:21d=?l50;&1=0<6<91e>4:51298k44f290/>4;51528j7?328>07b?=9;29 7?228>;7c<64;36?>i6<10;6)<65;374>h51=0::65`15594?"51<0:8=5a286952==6=4+28795166<:?;o0:0?7>32e:894?:%0:1?7382d9594>a:9l515=83.9584>419m6<2=9k10c<:=:18'6<3=9=:0b?7;:0a8?j74m3:1(?7::063?k4><3;o76a>3283>!4>=3;?<6`=9582a>=h9;21<7*=948205=i:0>1=k54ie53>5<#:0?1h;h4n3;7>5=5<#:0?1h;h4n3;7>7=54ie194?"51<0o>6`=9583?>oc93:1(?7::e08j7?32810enh50;&1=06i<4n3;7>6=h51=0?76glc;29 7?22m80b?7;:498mfd=83.9584k2:l1=1<132chm7>5$3;6>a46`=958;?>od03:1(?7::e08j7?32010en950;&1=06i<4n3;7>g=1<7*=948g6>h51=0h76gl3;29 7?22m80b?7;:e98mf4=83.9584k2:l1=15$3;6>a46`=95824>=njo0;6)<65;f1?k4><3;:76gme;29 7?22m80b?7;:008?ldc290/>4;5d39m6<2=9:10eom50;&1=04:9jfg<72-8297j=;o0:0?7232com7>5$3;6>a45<#:0?1h?5a286952=h51=0:465fd683>!4>=3n97c<64;3:?>oc>3:1(?7::e08j7?328k07dj::18'6<3=l;1e>4:51c98ma2=83.9584k2:l1=1<6k21bh=4?:%0:1?b53g8287?k;:k`1?6=,;3>6i<4n3;7>4c<3`hj6=4+2879`7=i:0>1=k54}r3b0?6=:rT:m9523e49f0=z{8k96=4={_3b6>;4l<0i96s|1`394?4|V8k:70=k4;`6?xu6i90;6?uQ1`2896b42k?0q~?6f;296~X61o16?i<5b49~w4?b2909wS?6e:?0`4g354z\2e2=:;ml1n8523ec95`7<5:nh6g352z\2e<=:;l81n85rsc694?44mrTi863=be8:3>;40j02;63<8c8:3>;40h02;63<8e8:3>;41m02;63;2j:02;63:b58:3>;2jo02;63:c`8:3>;2km02;63:cd8:3>;2ko02;63:d18:3>;2l802;63:d38:3>;2j<02;63:b78:3>;2j>02;63:b98:3>;2j002;63:b`8:3>;2jk02;63:bb8:3>;2jm02;63:bd8:3>;2k902;63:c08:3>;2k;02;63:c28:3>;2k=02;63:c48:3>;2k?02;63:c68:3>;2k102;63:c88:3>;2kk02;63:cb8:3>;21802;63:8g8:3>;20l02;63:8e8:3>;20j02;63:8c8:3>;20h02;63:888:3>;21902;63;4m;02;63;39?02;63;168:3>;39l02;63;1g8:3>;3:902;63;208:3>;3:;02;63;228:3>;3:=02;63;248:3>;39102;63;188:3>;39h02;63;1c8:3>;39j02;63;1e8:3>;4n?02;63;4nl02;63;38902;63;008:3>;38;02;63;028:3>;38=02;63;048:3>;4n102;63;4nh02;63;4nj02;63;3:l02;63;2g8:3>;3;902;63;308:3>;3;;02;63;328:3>;4ko02;63;4l802;63;4l:02;63;4l<02;63;3l=02;63;d48:3>;3l102;63;d88:3>;3lh02;63;dc8:3>;3lj02;63;de8:3>;3ll02;63;dg8:3>;3l?02;63;d68:3>;28=02;63:048:3>;28102;63:088:3>;28h02;63:0c8:3>;28j02;63:0e8:3>;28l02;63:0g8:3>;28?02;63:068:3>;3mj02;63;ee8:3>;3n902;63;f08:3>;3n;02;63;f28:3>;3n=02;63;f48:3>;3n?02;63;f68:3>;3ml02;63;eg8:3>;3jj02;63;be8:3>;3k902;63;c08:3>;3k;02;63;c28:3>;3k=02;63;c48:3>;3k?02;63;c68:3>;3jl02;63;bg8:3>;3<902;63:1b8:3>;2;;02;63:328:3>;2;?02;63:368:3>;2;102;63:388:3>;2;h02;63:3c8:3>;2;j02;63:3e8:3>;2;=02;63:348:3>;2;2>;02;63:648:3>;2>?02;63:668:3>;2>102;63:688:3>;2>h02;63:6c8:3>;2;2;2=802;63:538:3>;2=:02;63:558:3>;2=<02;63:578:3>;2=102;63:588:3>;2=h02;63:5c8:3>;2=j02;63:5e8:3>;2=l02;63:5g8:3>;2>902;63:608:3>;2>:02;63:658:3>;2:802;63:1d8:3>;c=o02;637c`8g20=:0j31h;;4=9g3>a02342oj7j95:?;b3<164k;5d7789=`d2m<>706ib;f51>;?nl0o:8528gf9`33<50:;6i8:;<:eb?b1=272=57=l??014>;:e46?8?7;3n=9636078g20=:19?1h;;4=82;>a02343;;7j95:?;gf<164nl5d7789=eb2m<>706ld;f51>;?l90o:8528bd9`33<51n96i8:;<:g5?b1=273h94k649>c=3n=9637d98g20=:0m=1h;;4=9fb>a02342o57j95:?;`f<164il5d7789=bb2m<>706kd;f51>;?m;0o:8528d39`33<51o?6i8:;<:f7?b1=273i;4k649><`3=l??015k7:e46?8>b?3n=9637e`8g20=:0l31h;;4=9g`>a02342nn7j95:?;a`<164hj5d7789=`72m<>706jf;f51>;?n;0o:8528g39`33<51l?6i8:;<:e7?b1=273j54k649>a13n=9638018:3>;1no02;639fd8:3>;1nm02;639fb8:3>;1nk02;638098:3>;08>02;638078:3>;08<02;638058:3>;08:02;638038:3>;08802;639f`8:3>;1n002;6384e8:3>;0;0=<02;638578:3>;0=>02;6385g8:3>;0>902;638608:3>;0>002;6386`8:3>;0>k02;6387b8:3>;0?m02;6387d8:3>;00?02;638868:3>;00102;638918:3>;01802;638938:3>;01h02;6389c8:3>;01j02;638738:3>;0?:02;638758:3>;0mk02;638eb8:3>;0mm02;637078:3>;?8>02;637098:3>;?8o02;637118:3>;?9802;637198:3>;?9002;6371`8:3>;?:802;637238:3>;?::02;6372`8:3>;?:k02;6372b8:3>;?;:02;637358:3>;?;<02;6373e8:3>;?;l02;6373g8:3>;09102;638188:3>;09h02;638208:3>;0:;02;638228:3>;0:h02;6382c8:3>;0:j02;638328:3>;0;=02;638348:3>;0;j02;6383e8:3>;0;l02;638448:3>;0;0i<02;638a78:3>;0i>02;638b08:3>;0j;02;638b28:3>;0m802;638e38:3>;0m:02;638f58:3>;0n<02;638f78:3>;0nm02;638fd8:3>;0no02;638d48:3>;0l?02;638d68:3>;0k002;638c`8:3>;0kk02;638be8:3>;0jl02;638bg8:3>;08j02;6380e8:3>;08l02;6360e8:3>;>;>i:02;636a88:3>;>io02;636b48:3>;>jk02;636c08:3>;>k>02;636128:3>;>9002;6361g8:3>;>:<02;6362c8:3>;>;802;636368:3>;>;m02;636428:3>;><002;636548:3>;>=k02;636608:3>;>>>02;6366e8:3>;>?:02;636788:3>;>?o02;636848:3>;>0k02;636968:3>;>1m02;637558:3>;?=802;6375d8:3>;?=k02;637698:3>;?><02;637738:3>;?>o02;6377b8:3>;??002;637878:3>;?0:02;637918:3>;?0m02;6379`8:3>;?1>02;637a58:3>;?i802;637ad8:3>;?ik02;637b98:3>;?j<02;637c38:3>;?jo02;637c18:`>;?j?02h637ab8:`>;?i;02h637998:`>;?0l02h637858:`>;??h02h637718:`>;?>?02h6375b8:`>;?=;02h63ld08:3>;d><02;63mb78:3>;e?h02;63l5c8:3>;dik02;63l1c8:3>;di?02;63la58:3>;dih02;63ld58:3>;di<02;63l668:3>;d?:02;63l1e8:3>;dm102;63l1b8:3>;dl<02;63l1d8:3>;e?l02;63m7e8:3>;e1:02;63m938:3>;dil02;63lf38:3>;dn802;63lf18:3>;dmo02;63led8:3>;d9h02;63l188:3>;dnm02;63l208:3>;d??02;63m798:3>;dl902;63l258:3>;d:<02;63l238:3>;d:102;63l288:3>;d:h02;63l458:3>;d<:02;63l388:3>;d;h02;63md28:3>;el?02;63md88:3>;eno02;63mf58:`>;en:02h63mf08:`>;en902h63meg8:`>;eml02h63mee8:`>;emj02h63mec8:`>;emh02h63me88:`>;em102h63me78:`>;em<02h63me58:`>;em:02h63me38:`>;em802h63me18:`>;elo02h63mdd8:`>;elm02h63mfc8:`>;enh02h63mf88:`>;en102h63mf68:`>;en?02h63mf48:`>;en;02h63me68:`>;elj02h63=dg8:3>;4=102;63<5`8:3>;2>j02;639de8:3>;1lo02;639e58:3>;d:>02;63l2c8:3>;dn>02;63m8`8:3>;e0j02;63lf28:3>;d9o02;63lcc8:3>;d?802;63lag8:3>;dj802;63lbc8:3>;d0<02;63lce8:3>;3:?02;63:6g8:3>;2<002;63:468:3>;d?>02;63;4l002;63;3d8:3>;dl002;63l6e8:3>;d=o02;63l8e8:3>;dl?02;63le08:3>;dj:02;63lab8:3>;2m10j563:e98b0>;2m<0j563:e48b0>;2m;0j563:e38b0>;2lo0j563:dg8b0>;2lj0j563:db8b0>;2l00j563:d88b0>;2l?0j563:d78b0>;2l:0j563:d28b0>{t:h31<77p}7}Y9k201>hk:3;b?xu4mk0;6?uQ1c4896`d2;3j7p}7}Y9k?01>hm:3;b?xu4m00;6?uQ1c6896`f2;3j7p}7}Y9k901>h6:3;b?xu4m>0;6?uQ1c0896`?2;3j7p}7}Y9j;019>::3;b?xu4n=0;6?uQ1b2891632;3j7p}7}Y9kl019><:3;b?xu4n;0;6?uQ1cg891652;3j7p}7}Y9kn019>>:3;b?xu4n90;6?uQ1ca891672;3j7p}7}Y9kh01>hi:3;b?xu4ml0;6?uQ1cc896`b2;3j7p}7}Y9k301>h8:3;b?xu4m?0;6?uQ1c3896`12;3j7p};0b83>7}Y9j3019?k:3;b?xu38k0;6?uQ1b58917d2;3j7p};0`83>7}Y9j<019?m:3;b?xu3800;6?uQ1b78917f2;3j7p};0983>7}Y9j>019?6:3;b?xu38>0;6?uQ1b18917?2;3j7p};1483>7}Y9m8019<::3;b?xu39=0;6?uQ1e3891432;3j7p};1283>7}Y9m:019<<:3;b?xu39;0;6?uQ1bd891452;3j7p};1083>7}Y9jo019<>:3;b?xu3990;6?uQ1bf891472;3j7p};0g83>7}Y9ji019?i:3;b?xu38l0;6?uQ1b`8917b2;3j7p};0e83>7}Y9jk019?8:3;b?xu38?0;6?uQ1b0891712;3j7p};2783>6}Y9m>019<8:0g2?825>382m6s|43f94?4|V8ni70:<3;0:e>{t<;i1<7{t<;k1<7{t<;21<7;2>m0:i?5rs563>5<3sW88>63;4181=d=:j1l1=h<4=b43>4c53ty8m?4?:2ax96?c2k?01i98:3:e?80e03;n>6394b82a0=:>191=h;4=7;1>4c234<2;7?j5:?5=f<6m<16:l?51d7893g128o>708nb;3f1>;1j90:i85260c95`3<5?;m644>e49>27c=9l?01;=<:0g6?80403;n96393e82a0=:>=81=h;4=764>4c234<>=7?j5:?513<6m<16:8l51d78930728o>70895;3f1>;1>h0:i85267d95`3<5?=?6e49>2=>=9l?01;6k:0g6?807n3;n96366b82a7=:1>81=h<4=85;>4c5343;f1<02o63l4g8a=>;d=80i563l4981ef=:k=?1n4525dg95`3<5e49>257=9l?01;>=:0g6?807;3;n96390582a0=:=ln1=h;4=4g`>4c234?nn7?j4:?6ag<6m<1v>o>:18585f9382m634c534ii>7?j2:?`<3<6m;1v>7k:18485>l382m634c634ii>7?j1:?`<3<6m816?4h51ed8yv5?03:1>v3=be8a1>;400094>5rs2:6>5<4s493n7l:;<1;e?4>i278444>e09~w6>12908w0=7c;`6?85?j382m63<8882a5=z{:2<6=4={<1;g?4>i278444>e39~w7dc2908?v3=be81=d=:;1n1>lm4=535>7?e34>:;7<6b:?75`<51k168;3:;095o5243196j27?=54=9c9>04?=:0h019?n:3;a?826j382n63;1b81=g=:<8n1>4l4=2d5>7?e349m;7<6b:?0b`<51k16?kh528`891672;3i70:?1;0:f>;38;095o5241196j278j54=9c9>7c?=:0h01>hn:3;a?85aj382n634l4=50f>7?e34>9j7<6b:?775<51k168>?528`891552;3i70:<3;0:f>;3<9095o5250a96j279hk4=ab9>13e=:0h019<9:3;a?831n382n63:4881=g=:===1>4l4=2f`>4c63ty89;4?:`y>6a3=9l?01>;6:3:0?84cl3;n963=d282a0=::m81=h;4=3f2>4c2348o<7?j5:?1gc<6m<16>nk51d7897e?28o>7p}k4`83>0}:=k91n85261695`5<51l26?l<;<7eb?7b827>i54kb:p`1?=83?p18l;:c78936428o8706i7;0a7>;2nl0:i=525d:9a3=z{m><6=4:{<7ab?d234<;>7?j3:?;b6<5j:169kj51d2890c?2o;0q~j;6;291~;2kh0i96390082a6=:0o;1>o=4=4d`>4c734?n47h:;|qg00<72252=9l;015ki:3`0?83aj3;n<63:e48gf>{tl=>1<7;t=4af>g3<5?:86;<:f`?4e;27>jl4>e19>1`3=m?1vi:<:18683dn3h>708?2;3f5>;?mk09n>525g;95`6<56k?4}rf76?6==r7>h=4m5:?544<6m8164h752c1890`?28o;70;j5;d6?xu40=0;64u237296=5<5i54k6d9>1`>=l>:018k::e4`?83b=3n=h63:e48g2`=:=l?1h:>4}r1;7?6=1r789k4=829>1`4=l?i018k=:e4g?83b:3n=i63:e38g35=:=ml1h;m4=4fe>a0c34?oj7j9e:?6`c6=:18:852m383?63:db8g2f=:=mi1h;j4=4f`>a0b34?oo7j80:?6`<j169i75d7f890b>2m{t;1;1<77t=27g>7>434?o:7j9c:?6`3m169i85d7g890b12m=;70;k3;f5g>;2l:0o:i525e19`3c<57}:=l21=>=4=3f6>4c43ty8;;4?:3y>1`>=9:o01?j<:0g7?xu4?<0;6?u25d:9514<5;n967}:=l?1=>=4=3f3>4c33ty8;?4?:3y>1`3=9:o01?mi:0g7?xu4?80;6?u25d79514<5;in6nj51d7890c528837p}<6g83>7}::ji1=h;4=4g1>4543ty8:h4?:3y>6fd=9l?018k=:01f?xu4>m0;6?u22bc95`3<57}::j=1=h;4=4fe>4543ty8:44?:3y>6f0=9l?018ji:01f?xu4>10;6?u22b795`3<5n:51d7890bd28837p}<6783>7}::j91=h;4=4f`>4543ty8:84?:3y>6f4=9l?018jl:01f?xu4>=0;6?u22b395`3<5n>51d7890b>28837p}<6383>7}::kl1=h;4=4f:>4543ty84=4?:3y>6ae=9l?018j6:01f?xu4?o0;6?u22e`95`3<5io51d7890b128837p}<7e83>7}::m31=h;4=4f5>4543ty8;n4?:3y>6a>=9l?018j9:01f?xu4?k0;6?u22e595`3<5i851d7890b428837p}<7683>7}::m>1=h;4=4f0>4543ty8:n4?:3y>6f?=9l?018j<:01f?xu4>80;6?u22cg95`3<5q6ok651d289f3520i01nm?:0g2?8e7k3;n?63=e181<6=:kjl1=h<4=b35>4c43ty8><4?:cy>70g=j<169::51d089g1>2;2870;j8;35<>;2m<0::5525d0953><5h44>699>1a0=9?2018j<:04;?xu4;l0;6;u237295`6<5:?m6e19>g0e=:1901899:0g3?xu48;0;6>u22ed96j2wx??;50;0x90cb2;2?70=90;3f6>{t;;>1<77>2349>j7?j2:p775=838p18ki:3:7?852m3;n>6s|33094?4|5;50;1x90b62k?01;>;:3:6?83b:3ni7p}<3583>6}:=m81n85261196=3<5n84m5:?547<50<169h<5f09~w6552908w0;m6;`6?8079383963:e38e1>{t;::1<7=t=4`4>g3<5?:?6?6;;<7gb?be3ty8>k4?:2y>1g>=j<16:==5296890ba2l<0q~==e;297~;2j00i96390381<1=:=ml1j<5rs20g>5<4s4?im7l:;<435?4?<27>hk4i5:p77e=839p18lm:c7890`a2;2?70;kc;fa?xu4:k0;6>u25ca9f0=:=oo1>5:4=4f`>`053z?6faji4=859>1ae=n81v><6:18083em3h>70;ic;0;0>;2lj0m96s|33:94?5|57>334?o57jm;|q062<72:q69n?5b49>1cg=:1>018j6:d48yv54l3:1?v3:c38a1>;2n00949525e;9b4=z{:9h6=4<{<7`7?d234?m47<74:?6`<l50;1x90e32k?018hi:3:6?83c>3ni7p}<3`83>6}:=j?1n8525gg96=3<5o;4m5:?6ba<50<169i85f09~w65?2908w0;l7;`6?83ak383963:d78e1>{t;:=1<7=t=4a;>g3<51f?=j<169ko5297890b42l<0q~=<1;297~;2kk0i963:f881<0=:=m91j<5rs205>5<4s4?ho7l:;<7eh>4i5:p6c?=838p18h?:0g1?84cl383?6s|2g:94?4|5k850;0x90`528o970{t:o?1<74c5348o>7<73:p6c2=838p18h;:0g1?84c9383?6s|2g194?4|56k<50;0x90`128o970{t:o;1<74c5348hi7<73:p6c6=838p18h?:0g3?84dl383?6s|2dd94?4|5hk50;0x90`528o;70{t:ln1<74c7348hm7<73:p6`d=838p18h;:0g3?84d0383?6s|2dc94?4|56h750;0x90`128o;70{t:l21<74c7348h97<73:p6`1=839p14=?:0g0?83a83;n?63=c581<6=z{;o=6=4<{<;1e?7b;27>j<4>e29>6f5=:190q~:=0:i>525g095`5<5;i96?6<;|q1a1<72:q65{t:l91<7=t=83;>4c434?m87?j3:?1g5<50:1v?k=:1808?6:3;n?63:f482a6=::kl1>5=4}r134?6=;r72o;4>e29>1c0=9l901?jl:3:0?xu5no0;6>u29b295`5<5kk50;1x9;5lh094>5rs3dg>5<4s43i87?j3:?6b4<6m816>i752918yv4ak3:1?v36ad82a6=:=o81=h?4=3f;>7>43ty9jo4?:2y>=d>=9l9018h<:0g2?84c?383?6s|2gc94?5|50k96;2n<0:i<522e696=553z?:0`<6m:169k851d3897e>2;287p}=e083>6}:19i1=h=4=4d4>4c6348ii7<73:p74>=83ip186j:c7893>72;287077c;3f7>;?jl0:i<525d:9<3=:=l?14;525d09<3=:=ml14;525ea9<3=:=m314;525e49<3=:=m914;5rs234>5e29>21=018j9:95890b421=0q~=>6;29g~;20j0i96397781<6=:11:1=h=4=9cb>4c634?n4767;<7f1?>?34?n>767;<7gb?>?34?oo767;<7g=?>?34?o:767;<7g7?>?3ty8=84?:by>1=d=j<16::?529189<1f28o8706n0;3f5>;2m103563:e48;=>;2m;03563:dg8;=>;2lj03563:d88;=>;2l?03563:d28;=>{t;8>1<7mt=4:b>g3<5?e09>1`>=0h169h;58`9>1`4=0h169ih58`9>1ae=0h169i758`9>1a0=0h169i=58`9~w674290hw0;79;`6?801?383?6366d82a6=:01i1=h?4=4g;>=d<565l4=4g1>=d<5=d<5=d<5=3>=9l90156=:0g2?83b032h70;j5;:`?83b:32h70;kf;:`?83ck32h70;k9;:`?83c>32h70;k3;:`?xu4980;6ou264f96=5<50<96i547d:?6a0i?47d:?6`chn47d:?6`<h;47d:?6`650;`x933?2;28707:c;3f7>;?>l0:i<525d:9<5=:=l?14=525d09<5=:=ml14=525ea9<5=:=m314=525e49<5=:=m914=5rs22e>5?7<73:?:13<6m:164;:51d3890c?21;018k::93890c521;018ji:93890bd21;018j6:93890b121;018j<:938yv57l3:1nv394881<6=:1=k1=h=4=97b>4c634?n476=;<7f1?>534?n>76=;<7gb?>534?oo76=;<7g=?>534?o:76=;<7g7?>53ty8212=:19014:;:0g0?8>283;n=63:e98;0>;2m<03863:e38;0>;2lo03863:db8;0>;2l003863:d78;0>;2l:0386s|31`94?4|5?9m6?6<;<;0a?7b;2wx?=o50;0x935f2;28707<8;3f7>{t;931<77>43438>7?j3:p75>=838p1;=?:3:0?8?5k3;n?6s|31594?4|5?8i6?6<;<;12?7b;2wx?=850;0x93412;28707=0;3f7>{t;9?1<77>4343:m7?j3:p752=838p1;?l:3:0?8?6<3;n?6s|33294?4|5?h96?6<;<;`{t;8o1<77>4343io7?j3:p74b=838p1;o<:3:0?8?e>3;n?6s|30a94?4|5?3n6?6<;<;a4?7b;2wx?2;28707na;3f7>{t;8k1<77>4343j87?j3:p74?=838p1;6::3:0?8?>:3;n?6s|31g94?2|5?>n6?6<;<;64?7b;27>;94>e19>120=9l;0q~=?3;29=~;19:094>5291g95`5<5<=?6;<154?7b92789k4>e09>70c=9l;01>;k:0g2?830>3;n>63<5c82`c=z{:3h6=4;{j3:1jv3;d00094>52ccf95`2<5jh96i54>669>1`3=9?=018k=:044?83cn3;=;63:db8222=:=m31=;94=4f5>40034?o?7?97:?af=<6m816olj51d18yv5?m3:1?v3<8e81=d=:;0n1>4l4=2c2>7?e3ty8no4?:3y>fc2=j<169h651148yv5ei3:1>v3mf28a1>;2m10:=<5rs2`;>5<5s4hm=7l:;<7fl;:1818dbl3h>70;j5;32g>{t;k91<7g3<56<1`4=99<0q~=m1;296~;emh0i963:e38254=z{:h;6=4={<`f=?d234?n>7?>c:p7d`=838p1ok7:c7890c5288;7p}7}:jl<1n8525ed955052z?aa0hk4>109~w6ge2909w0lj4;`6?83cn3;:o6s|3`c94?4|5ko86o;4=4fe>4473ty8m44?:3y>f`4=j<169im51148yv5f03:1>v3me08a1>;2lj0:=<5rs2c4>5<5s4hn<7l:;<7gg?76k2wx?l850;0x9gba2k?018jl:003?xu4i<0;6?u2beg9f0=:=m31==84}r1b0?6=:r7ihi4m5:?6`<<6981v>m=:1818daj3h>70;k9;32g>{t;j;1<7g3<51a0=99<0q~=mf;296~;en10i963:d78254=z{:hn6=4={<`e3?d234?o:7?>c:p7gb=838p1oh9:c7890b1288;7p}7}:jo?1n8525e1955052z?ab7h>4>109~w6gb2909w0lj7;`6?83c;3;:o6s|3`194?4|5knh6o;4=4f0>4473ty85l4?:`y>=018k::65890c52>=018ji:65890bd2>=018j6:65890b12>=018j<:658yv5>13:1mv37b98a1>;?j=094>525d:93==:=l?1;5525d093==:=ml1;5525ea93==:=m31;5525e493==:=m91;55rs2;;>5i5489:?6a0<0127>i?489:?6`c<0127>hn489:?6`<<0127>h;489:?6`6<012wx?4950;cx9=g32k?015o?:3:0?83b03=j70;j5;5b?83b:3=j70;kf;5b?83ck3=j70;k9;5b?83c>3=j70;k3;5b?xu41?0;6lu288c9f0=:00<1>5=4=4g;>2d<56:l4=4g1>2d<52d<52d<51`3=?j169h<57b9>1a`=?j169im57b9>1a?=?j169i857b9>1a5=?j1v>7;:18b8>?>3h>70672;0;7>;2m10;2m;0;2lj0;2l?0{t;091<7ot=95`>g3<51=36?6<;<7f<3c=:19018k7:63890c22>;018k=:63890ba2>;018jl:63890b>2>;018j9:63890b42>;0q~=61;29e~;?>10i96376581<6=:=l21;?525d7937=:=l81;?525ed937=:=mi1;?525e;937=:=m<1;?525e1937=z{:3;6=4n{<:6a?d2342>m7<73:?6a=<0;27>i8483:?6a7<0;27>hk483:?6`f<0;27>h4483:?6`3<0;27>h>483:p7=`=83kp15;;:c789=372;2870;j8;56?83b=3=>70;j2;56?83cn3=>70;kc;56?83c13=>70;k6;56?83c;3=>7p}m8883>0}:4c434?997?j2:?a4c434h3m7<6a:?666<6m;1v86i:18483?n382m63:918a1>;4m<0:i8523eg95`3<5:n36;?4>e49~w6302908w0;8d;0;7>;5l<0:i9522ef95`297>52z?62d<51h16>nj51d28yv52<3:1>v3:6c81=d=::ji1=h>4}r167?6=:r7>8i4=9`9>6fd=9l:0q~=:2;296~;2=7>52z?615<51h16>n951d28yv5283:1>v3:5081=d=::j<1=h>4}r17b?6=:r7>9?4=9`9>6f3=9l:0q~=;e;296~;2=:095l522b695`652z?611<51h16>n=51d28yv53k3:1>v3:5481=d=::j81=h>4}r17f?6=:r7>9;4=9`9>6f7=9l:0q~=;a;296~;2=1095l522b295`652z?61<<51h16>oh51d28yv5303:1>v3:5`81=d=::mi1=h>4}r173?6=:r7>9o4=9`9>6ad=9l:0q~=;6;296~;2=j095l522ec95`652z?61a<51h16>i751d28yv53<3:1>v3:5d81=d=::m21=h>4}r177?6=:r7>9k4=9`9>6a1=9l:0q~=;2;296~;2>9095l522e495`652z?624<51h16>i:51d28yv5383:1>v3:6281=d=::j31=h>4}r10b?6=:r7>:94=9`9>6gc=9l:0q~;=6;297~;2;;095l527ed95`4<5>o;6=528c892b428o9709k4;3f0>{t=;k1<7=t=415>7?f34=h;7?j2:?4g=<6m=1v8?54=9`9>3d`=9l801:l?:0g7?xu2:m0;6>u252;96k86;0100:i95rs40e>5<4s4?8n7<6a:?4<`<6m;16;5h51d68yv3483:1?v3:3b81=d=:?1>1=h<4=6:6>4c33ty>?<4?:2y>16b=:0k01:9n:0g1?810j3;n86s|53594?5|5<9?6?7n;<553?7b:27<:54>e59~w04?2908w0;<5;0:e>;0=m0:i?5274g95`253z?0g3<50:1689:51d78902?28o97p}2}:=>h1=h?4=45;>4c434?<;7?j1:?63d<6m=169:751d68901d28o:70=l4;0;7>{t=1;1<79t=50f>7>43ty>4=4?:3y>12?=:19019<8:0g3?xu2?o0;664o4=53;>7>43ty>;h4?:03x96`120k01>h8:8c896`b20k01>hi:8c8916720k019>>:8c8916520k019><:8c8916320k019>::8c896`?20k01>h6:8c896`f20k01>hm:8c896`d20k01>hk:8c890102;287p}:8383>7}:=>h1>5=4=46b>4c73ty>5?4?:0y>12e=:190q~;85;296~;2180i963:7781<6=z{<=86=4={<7;b?d234?<87<73:p1=5=83np1866:3;b?830j3;n<63:7982a5=:=>=1=h>4=45b>4c734?<57?j0:?63f<6m91689:51d18902?28o870;;6;3f4>;2<=0:i85255095`3<5<>;6=1=h:4=45b>4c634?<57?j2:?63f<6m;16?h;51d0896bb28o870=k8;3f6>;3<;0:i?5245695`2<5<=968;4>e09>112=9l8018:=:0g1?83383;n<6s|59594?76s4?3h7<6a:?63g<6m:169:651d08901028o870;8a;3f7>;2?00:i>5256a95`2<5:i=6;<1f1?7b;278hh4>e09>7a>=9l;019:=:0g2?830:3;n>63:4782a1=:==>1=h:4=461>4c334??<7?j3:p1=0=83;:w0;7c;0:e>;2?k0:i?5256:95`7<5<=<6;44>e09>12e=9l901>m9:0g0?85b=3;n=634c434?<>7?j1:?603<6m;1699:51d28902528o870;;0;3f5>{t=1?1<7mt=4:a>7?f349h:7?j2:?0g1<6m816?h;51d6896bb28o?70=k8;3f7>;3<;0:i95256095`2<5<>=68?4>e19>116=9l80q~;74;29<~;20h095l523b495`6<5:i?6e19>7a>=9l:019:=:0g3?830:3;n<6s|58394?4?s4?2=7<6a:?0g3<6m=16?n:51d08901c28o:70;5kj0:i?522b`95`4<5;ij6e39>6f3=9l801?m;:0g1?84d;3;n>63=c382a7=::j;1=h<4=3a3>4c5348ij7?j2:?1`f<6m;16>il51d0897bf28o970;5l10:i?522e595`4<5;n=6e39>6gc=9l801ool:0g2?833>3;n963:4582a4=:==81=h?4=463>4c2348o?7?j3:?1`7<6m:16>i?51d1897b728o870;5kl0:i>522b:95`5<5;o;64c6348hm7?j1:?1g2<6m816>n851d3897e228o:70;5k:0:i<522b095`7<5;i:6;<0`4?7b9279nk4>e09>6ae=9l;01?jm:0g2?84ci3;n=63=d882a4=::m21=h?4=3f4>4c6348o:7?j1:?1`1<6m816>n751d3897db28o:70lnc;3f6>;5l:0:i?522e095`4<5;n:6e39>6fc=9l801?m7:0g1?84b83;n>6s|58194?3|5=;=6o;4=2d5>g3<5=8n6o;4=4`0>7?f34>9;7?j2:p1p19?8:c7896`02k?019=}:<8o1n8523gg9f0=:<::1n8524e69f0=:=9>1n8524da9f0=:58z?75col4=9`9~w0gc2903w0:=0;`6?82783h>70:<2;`6?82c03h>70;?8;`6?82a83h>70:l0;`6?83dl382m6s|5`g94?>|5=8:6o;4=522>g3<5=986o;4=5f:>g3<5<:26o;4=5d2>g3<5=i:6o;4=4af>7?f3ty>mk4?:6y>074=j<168=<5b49>0ag=j<169=o5b49>0c4=j<168n<5b49>1f`=:0k0q~;m0;293~;3::0i963;028a1>;3lk0i963:0c8a1>;3n:0i963;c28a1>;2l9095l5rs4`2>5<0s4>987l:;<630?d234>oo7l:;<73g?d234>m87l:;<6`0?d234?o=7<6a:p1g4=83=p19<::c7891622k?019jk:c78906c2k?019h::c7891e22k?018j=:3;b?xu21=0;6:u240:9f0=:;o21n8524eg9f0=:=9o1n8524g49f0=:57z?75<70=ia;`6?82c>3h>70;?6;`6?82bm3h>70:me;`6?83e?382m63;4582a7=:==k1=h<4=46;>4c33ty>5:4?:6y>04d=j<16?kl5b49>0a1=j<169=95b49>0``=j<168oh5b49>1g>=:0k0q~;68;297~;39j0i963;2j0095l5rs4;:>5<4s4>:h7l:;<1e`?d234?im7<6a:p151z?6fa<51h1v87k:18283em382m6s|58d94?7|5{<7`7?4>i2wx9l=50;3x90e32;3j7p}:a583>4}:=j?1>4o4}r7b1?6=9r7>o;4=9`9~w0g1290:w0;l7;0:e>{t=h=1<7?t=4a;>7?f3ty>m54?:0y>1f?=:0k0q~;na;295~;2kk095l5rs4ca>5<6s4?ho7<6a:p7`2=839p1>k=:3;a?85b;382n63i278hn4>e39~w6bc2909w0=ke;0;7>;4lh0:i=5rs517>5<5s4??o7<6a:?1`a<6m81v9=9:181832?382m63=d482a7=z{=9<6=4={<756?4>i279h>4>e19~w15?2909w0;95;0:e>;5l;0:i=5rs51:>5<5s4?=:7<6a:?1`4<6m91v9=n:181831?382m63=d182a5=z{=9i6=4={<75i279ok4>e19~w15d2909w0;99;0:e>;5kl0:i=5rs516>5<5s4??j7<6a:?1g=<6m81v9=k:181824m382m63=c982a5=z{:o;6=4={<1f6?4>i279hi4>e19~w6c62909w0=j3;0:e>;5l<0:i=5rs2f:>5<5s48oi7?j0:?0`<<51h1v>m8:18185dn382m63=dd82a4=z{:i36=4={<1g4?4>i279h84>e09~w6e>2909w0=k1;0:e>;5l:0:i<5rs2ab>5<5s49o>7<6a:?1`7<6m81v>mm:18185c;382m63=d082a4=z{:ih6=4={<1g0?4>i279h=4>e09~w6ec2909w0=k5;0:e>;5ko0:i<5rs2af>5<5s49o:7<6a:?1g`<6m81v>j8:18:85dn382n634l4=2f1>7?e349o?7<6b:?0`1<51k16?i;528`896b12;3i70=k8;0;7>{t=;>1<7g3<5<8>6?6<;|q7g=<72;q68i:528c8911a28o;7p};f983>7}:=9>1>4o4=55f>4c73ty?9<4?:3y>007=:19019:::0g2?xu3m90;6?u24da967}:<1:1>5=4=566>4c73ty?o44?:3y>0a0=:0k019;i:0g3?xu3n00;6?u2514967}:4o4=5:f>4c73ty?n<4?:3y>0gc=:0k0196k:0g3?xu3080;6?u249a96=5<5=>=67}:=9=1>4o4=547>4c73ty?984?:3y>036=:19019:8:0g2?xu3m;0;6?u24dd967}:<1l1>5=4=564>4c73ty?oo4?:3y>0a3=:0k01987:0g3?xu3nk0;6?u25179628o:7p};e283>7}:4o4=5;4>4c73ty?n>4?:3y>0gb=:0k01979:0g3?xu30<0;6?u248796=5<5=>267}:=921>4o4=54b>4c73ty?9:4?:3y>03?=:19019:n:0g2?xu3m=0;6?u24g296528c891?>28o;7p};8783>7}:<021>5=4=56b>4c73ty?oi4?:3y>0a?=:0k0198j:0g3?xu3nm0;6?u251;967}:4o4=5;g>4c73ty?n84?:3y>0f7=:0k0197l:0g3?xu30>0;6?u248`96=5<5=>i67}:=9k1>4o4=553>4c73ty?944?:3y>03`=:19019:l:0g2?xu3m?0;6?u24g0967}:<0o1>5=4=56`>4c73ty?ok4?:3y>0ad=:0k0199;:0g3?xu3no0;6?u251`967}:4o4=5c0>4c73ty?n:4?:3y>0f5=:0k019o=:0g3?xu3000;6?u24`396=5<5=>o67}:=9i1>4o4=555>4c73ty?9o4?:3y>023=:19019:j:0g2?xu3m10;6?u24g6967}:1>5=4=56f>4c73ty?h<4?:3y>0ab=:0k0199n:0g3?xu2880;6?u251f967}:4o4=5c:>4c73ty?n44?:3y>0f3=:0k019o7:0g3?xu30k0;6?u24`596=5<5=>m67}:=9o1>4o4=55`>4c73ty?9>4?:3y>02d=:19019;?:0g2?xu3mh0;6?u24g4967}:5=4=573>4c73ty?h>4?:3y>0a`=:0k0198<:0g3?xu28:0;6?u251d967}:4o4=5;1>4c73ty?no4?:3y>0f1=:0k0197>:0g3?xu30:0;6?u248296=5<5=>36176=:190q~;=2;296~;2:80i963:2281<6=z{=>:6=4={<676?4?;27??k4>e19~w022290ow0:mc;;b?82el33j70:l0;;b?82d933j70:l2;;b?82d;33j70:l4;;b?82d=33j70:l6;;b?82d?33j70:me;;b?82en33j70;;6;0;7>{t==91<7jt=5g`>64o4=5d5>7>43ty>8<4?:ey>152=1h169=;59`9>15>=1h169=759`9>15g=1h169=l59`9>15e=1h169=j59`9>15c=1h169=h59`9>150=1h169=959`9>114=:190q~;;3l102m63;d88:e>;3lh02m63;dc8:e>;3lj02m63;de8:e>;3ll02m63;dg8:e>;3l?02m63;d68:e>;2<9094>5rs41f>5<5s4??j7l:;<77f?4?;2wx89=50;0x91232;2870;9d;3f5>{t=8:1<7g3<5=>>6?6<;|q656<72;q69>=5b49>01?=:190q~;>4;296~;2;?0i963;4`81<6=z{<;>6=4={<703?d234>?n7<73:p140=838p18=7:c78912d2;287p}:1683>7}:=:31n85245f96=552z?67d2909w0;7>43ty>=o4?:3y>16b=j<1689652918yv3693:1>v3:358a1>;35rs431>5<5s4?897l:;<673?4?;2wx97?e34?>;7<6b:?627<51k169;;528`890012;3i70;97;0:f>;2>1095o5257;96j27>8i4=9c9>11c=:0h018:i:3;a?8328382n63:5081=g=:=<81>4l4=470>7?e34?>87<6b:?610<51k16988528`8903?2;3i70;:9;0:f>;2=h095o5254`96j27>9h4=9c9>10`=:0h0188?:3;a?8319382n63:6281=g=:=?>1>4l4=451>7>43ty>:n4?:7y>11d=9l:0188l:3;b?824n3;n=63:7182a1=:=?n1=h>4=400>4c33ty>:h4?:3:x91362;kh70:70;0bg>;3=m09mn5249a96de<5=<;6?ol;<6;b?4fk27?:;4=ab9>0<3=:hi01986:3c`?82>038jo63;6b81ef=:<0h1>lm4=54e>7gd34>2i7;3?109mn524`596de<5==i6?ol;<6be?4fk27?:<4=ab9>0<6=:hi019:::3c`?823>38jo63;4681ef=:<=31>lm4=56b>7gd34>?n7;3=909mn5245:96de<5=km6;<670?7b827>:k4=9`9>126=9l:0q~;;9;297~;3io0:i95255c95`7<5<>26?7n;|q602<72;2<10:i=5253195`356z?664<51h168lh51d08904728o;70;=5;3f5>;2?90:i?5253195`756z?65`<51h168lh51d28904728o:70;=5;3f4>;2?90:i<5253195`652z?65f<51h1689:51d38yvec>3:1?v3ld781=d=:k?:1=h>4=bf4>4c23tyo9k4?:63x9a3a2;3j709?0;0:f>;1no095o526gg96j27=jo4=9c9>35>=:0h01:>8:3;a?817>382n6380481=g=:?9>1>4l4=620>7?e34=;>7<6b:?444<51k16:ko528`893`>2;3i709;d;0:f>;0=<095o5274d96<26?7m;<54g?4>j27<4;4=9c9>3<6=:0h01:7n:3;a?810:382n638ec81=g=:09<1>4l4=92e>7?e342:47<6b:?;64<51k164?o528`89=542;3i706;091095o52733968j6?7m;<507?4>j27313=:0h01:o::3;a?81e9382n638e081=g=:?o>1>4l4=6dg>7?e34=o97<6b:?4g<<51k16;oj528`8926d2;3i706:4;0bg>;?=l09mn5287:96de<51=96?ol;<:4g?4fk2734;4=ab9><<6=:hi0157n:3c`?8>f<38jo637ad81ef=:0k21>lm4=9a1>7gd34io=7l:;j27in;4=9c9>f2g=:0h01n;m:3;a?8efj382n63l1c81=g=:kh<1>4l4=b3f>7?e34h;dn8095o52cg296j27h>84=9c9>g74=:0h01nk7:3;a?80ci3;n>639db82a5=:k931=h?4=bd4>7?e34h3m7<6b:?a;d?8095o52c`d96gfb=:0h01n98:3;a?8eel3;n>63le382a5=:kmk1=h=4=b43>4c634ii87?j0:?`2`<6m:16:il51d289fb028o:70mk3;3gb>;d9?0:i?52c0695`6<5j;;6;e09>g44=9l:0q~j>b;296~;>1j0:i>525g296=352z?:=3<6m:169k?52978yvb613:1>v368`82a6=:=o81>5;4}rf2e29>1c5=:1?0q~j>7;296~;>?l0:i>525g696=352z?:3=<6m:169k;52978yvb6=3:1>v367382a6=:=o<1>5;4}rf20?6=:r72:n4>e29>1c1=:1?0q~j>3;296~;>>?0:i>525g296=27>52z?:25<6m:169k?52968yvb693:1>v365`82a6=:=o81>5:4}rf24?6=:r72994>e29>1c5=:1>0q~j?f;296~;><10:i>525g696=252z?:07<6m:169k;52968yvb7l3:1>v363b82a6=:=o<1>5:4}rf3g?6=:r72?;4>e29>1c1=:1>0q~6l8;29`~;?==02m6375d8:e>;?>102m637738:e>;??j02m637878:e>;?1902m6379`8:e>;?i=02m637ad8:e>;?j102m637c38:e>;ej1094>5rse0g>5<6ir739=4>e39><0g=9l80158;:0g1?8>1m3;n>6377982a7=:0181=h<4=9:`>4c53422:7?j2:?;e5<6m;164lo51d089=d328o9706me;3f6>;d:1=h?4=c:e>4c234i;57?j0:?`fa<6m<16ol=51d389gee28o?70lmb;3f7>;e110:i952cc095`2<5kh36n4>e39~wa562908w06md;`:?8>d93;n<63mb681<6=z{m?36=48{34k247l6;34k2m7l6;34k2o7l6;i2wxh8950;0x9f5>2;3j70o66;3f4>{tl9k1<7<;{27h8846c:?5`f<6m816on851d289f?>28o:70m6b;3f5>;d1m0:i<52bbg95`7<5k2<6e09>fg2=9l:01ol::0g3?8e7m3;n=63ma682a4=:jh21=h?4=cc5>4c434ij=7?j1:?`bd<6m916oh<51d389fd228o?70mlc;3f7>;d>l0:i?52b`f95`5<5kkm6e09>f<>=9l801n6i:0g0?8e0:3;n>63mad82a5=:kjo1=h>4=b7f>4ba34hi<7?j2:?a=c<6m=16o<<51d18yveam3:1>v3lb781<6=:j1l1=h=4}rf6a?6=:r7h>;4=ab9>gc2=:190q~j=e;297~;d;:09?;52c349=f=:>mh1=h?4}ra2f?6=:8q65k>52`a89d402;kh70o:e;0bg>;f>m09mn52a6096de<5h=<6?ol;e=0=:hi01l6m:3c`?8?a=38jo636f`81ef=:1ol1>lm4=`27>7gd34k;57d;0bg>;f:;09mn52a3a96de<5h9:6?ol;e16=:hi01l:::3c`?8g3i38jo63n4g81ef=:i<>1>lm4=`7:>7gd34k=?7v3l1d81=d=:1jn1=h<4}ra2b?6=:8q65hh51d189d4128o870o:d;3f7>;f>j0:i>52a6395`5<5h==6e29>e=3=9l901l6n:0g0?8?a<3;n?636f882a6=:1oo1=h=4=`20>4c434k;47?j3:?b4a<6m:16m<<51d189d7028o870o>c;3f7>;f:80:i>52a3`95`5<5h9;6e29>e6`=9l901l:;:0g0?8g313;n?63n4d82a6=:i<91=h=4=`7;>4c434k=>7?j3:?b22<6m:16o1=h>4=`;0>4c6343;m7?j2:pg4b=83?p1n?k:3;b?8g><3;n=63n9282a5=:19k1=h>4=82a>4c73tyh><4?:3y>244=j016o??528c8yvd003:1>v3m7981=d=:>8=1=h>4}rf3=?6==r7=n54>e19>2g>=9l;01;l8:0g3?80e?3;n=63l2381=d=z{jo36=4>2z?`a=<51h16;=l51d289=5d28o;706<2;3f4>;?:00:i=5283295`6<51;<6e19>3`g=9l:01:9>:0g3?813<3;n<6383c82a5=:?:81=h>4=60:>4c734=9<7?j0:?452<6m916oik51d08yvb6k3:1=ol15l526gg9=d=:>on15l526ga9=d=:>oh15l5271:9=d=:?9=15l527149=d=:?9?15l527169=d=:?9915l527109=d=:?9;15l526gc9=d=:>o315l52b9596=5h7>52ey>g14=:1901:77:0g0?81>13;n>6388d82a6=:?1l1=h<4=6:7>4c434=397?j2:?43d<6m:16;:l51d08920028o870998;3f6>;0=m0:i>5274g95`4<5>k86e29>3g6=9l801:ji:0g0?81b83;n>638d282a6=:?m>1=h<4=6a4>4c434=h47?j2:?4fg<6m:16;om51d08923328o>709;c;3f1>;0n:0:i8527ga95`3<5>:j6e49><7>=9l?015?i:0g6?8>6>3;n96370e82a0=:09>1=h;4=6g:>4c234=<<7?j5:?406<6m<16;>o51d78925628o>709=8;3f1>;09o0:i85270495`3o7>520y>306=9l801:;7:0g1?811:3;n>6386b82a7=:?>l1=h<4=6::>4c534=2?7?j2:?4=a<6m;16;:;51d0892cb28o9706?9;3f6>;?9;0:i?5280`95`4<518?6e39><16=9l801:?m:0g1?815<3;n>6382e82a7=:?:<1=h<4=61e>4c534=?47?j2:?4e=<6m;16;o:51d0892c328o9709i7;3f6>;?890:i?527e:95`4<5>ih6e39>g6c=:190q~j<0;2964}:?<:1=h;4=67;>4c234==>7?j5:?42f<6m<16;:h51d7892>>28o>70963;3f1>;01m0:i85276795`3<5>on6e49><4d=9l?015<;:0g6?8>5l3;n96373782a0=:0=:1=h;4=63a>4c234=987?j5:?46a<6m<16;>851d78925a28o>709;8;3f1>;0i10:i8527c695`3<5>o?6e49>3a>=9l?01:ml:0g6?81d83;n96380g82a0=:k=?1>>84}rf1b?6=1r7i5k4=829>3d7=9l;01:ok:0g2?81cl3;n>638f382a4=:?m;1=h<4=6a6>4c634=i57?j1:?44<<6m81vi;6:1875~;?kh0o:;528b;9`30<51o;6i89;<:gb?b1>273j;4k679>aj3n=:637fd8g23=:0on1h;84=823>a01342mj7j96:?:47?165=?5d7489<632m<=707?3;f52>;>8?0o:;529179`30<50:36i89;<;33?b1>273on4k679>dl3n=:637d18g23=:0jl1h;84=9f1>a01342o=7j96:?;`1?164i=5d7489=b12m<=706k5;f52>;?l10o:;528e59`30<51nj6i89;<:g=?b1>273hn4k679>cl3n=:637e38g23=:0l;1h;84=9g7>a01342n?7j96:?;a3?164h;5d7489=c?2m<=706j7;f52>;?mh0o:;528d;9`30<51oh6i89;<:ff?b1>273ih4k679><`b=l?<015h?:e45?8>bn3n=:637f38g23=:0o;1h;84=9d7>a01342m?7j96:?;b=?164k95d7489=`f2m<=706i9;f52>;d8j094>5rs9a4>5i27<8k46a:?410<>i27<9;46a:?412<>i27<9k46a:?425<>i27<:<46a:?42<<>i27<:l46a:?42g<>i27<;n46a:?43a<>i27<;h46a:?4<3<>i27<4:46a:?4<=<>i27<5=46a:?4=4<>i27<5?46a:?4=d<>i27<5o46a:?4=f<>i27<;?46a:?436<>i27<;946a:?4ag<>i27i273<;46a:?;42<>i273<546a:?;4c<>i273==46a:?;54<>i273=546a:?;5<<>i273=l46a:?;64<>i273>?46a:?;66<>i273>l46a:?;6g<>i273>n46a:?;76<>i273?946a:?;70<>i273?i46a:?;7`<>i273?k46a:?45=<>i27<=446a:?45d<>i27<><46a:?467<>i27<>>46a:?46d<>i27<>o46a:?46f<>i2746a:?471<>i27i27i27<8846a:?403<>i27<8:46a:?4e0<>i27i27i2746a:?4a4<>i27i27i27i27i27i27i27i27i27i27<i2739<46a:?;1g<>i273:846a:?;2c<>i273;446a:?;<6<>i2734i46a:?;=2<>i273m<46a:?;eg<>i273n846a:?;fc<>i27i;l4m5:?`1g<>i27hmo46a:?`5g<>i27hm;46a:?`5`<>i27i;h46a:?a3a<>i27i5>46a:?a=7<>i27hmh46a:?`61<>i27h>846a:?`67<>i27h>546a:?`6<<>i27h>l46a:?`01<>i27h8>46a:?`7<<>i27h?l46a:?`a=<>i27h8;46c:?`ba<>i27h::46a:?`36<>i27hh846a:?`b7<>i27hj<46a:?`b5<>i27hik46a:?`a`<>i27h=l46a:?`5<<>i27i;546a:?``5<>i27hh946a:?`5f<>i27h><46a:?`5a<>i27h;;46a:?a`dgf6=9l901n76:0g3?8e>j3;n<63l9e82a5=:jhh1=h>4=bd4>e19>gg2=9l;01n8j:0g2?8d>03;n=63lcg82a6=:k8<1=h:4=b37>4c434i:<7?j2:?`4`<6m;16ni<51d389gb728o:70lk1;3f5>;dim0:i?52c9g95`3<5j;96;2mo0:i>5261295`5<5?:>6;<7f`?7b;2wxo;950;cx9f002;3j70;je;3f6>;2mo0:i?5261295`4<5?:>6e59>255=9l>01;>;:0g7?83bl3;n>6s|cb`94?4|50:j6i2wxo:?50;0x9<6e28o:70m81;0:e>{tj>i1<77?f343hh7?j1:pf2d=838p1o9k:3;b?8?dl3;n<6s|c`g94?4|5jkn6?7n;;382m636ed82a7=:i;?1=h<4=`7`>4c534k=n7?j2:?b35<6m;16m:;51d089d1f28o970o8f;3f6>;f0=0:i?52a9;95`4<50l86e39>e54=9l801l>8:0g1?8g7k3;n>63n1082a7=:i8<1=h<4=`3a>4c534k9<7?j2:?b6d<6m;16m?h51d089d5328o970o<9;3f6>;f;l0:i?52a5195`4<5h>36e39>e01=9l801l8>:0g1?8g1>3;n>6s|b8294?46s4h2>7<6a:?:a`<6m:16m?;51d189d3d28o870o9b;3f7>;f?90:i>52a6795`5<5h=j6e29>e=?=9l9014h<:0g0?8?a03;n?636fe82a6=:i981=h=4=`24>4c434k;o7?j3:?b54<6m:16m<851d189d7e28o870o=0;3f7>;f:h0:i>52a3d95`5<5h9?6e29>e15=9l901l:7:0g0?8g3l3;n?63n5382a6=:i<=1=h=4=`42>4c434k=:7?j3:p`51=838nw06l0;47?8>e>3f:3?m30i31>32:3;en=0=863mf2850>;en80=863mf1850>;emo0=863med850>;emm0=863meb850>;emk0=863me`850>;em00=863me9850>;em?0=863me4850>;em=0=863me2850>;em;0=863me0850>;em90=863mdg850>;ell0=863mde850>;enk0=863mf`850>;en00=863mf9850>;en>0=863mf7850>;en<0=863mf3850>;em>0=863mdb850>;el;0:i=5rse25>5<5mr73o=495:?;f3<1=273mn495:?;e7<1=27355495:?;<`<1=27349495:?;3d<1=273;=495:?;23<1=2739n495:?;17<1=27ih;4=9`9>fc2=><16nk=5649>fc7=><16nk>5649>f``=><16nhk5649>f`b=><16nhm5649>f`d=><16nho5649>f`?=><16nh65649>f`0=><16nh;5649>f`2=><16nh=5649>f`4=><16nh?5649>f`6=><16nih5649>fac=><16nij5649>fcd=><16nko5649>fc?=><16nk65649>fc1=><16nk85649>fc3=><16nk<5649>f`1=><16nim5649>fa7=9l:0q~j?5;2975}:0j:1:;528c4923=:0hi1:;528`0923=:0021:;5289g923=:01>1:;5286c923=:0>:1:;52874923=:04o4=cd7>30<5kl86;84=cd2>30<5kl;6;84=cge>30<5kon6;84=cgg>30<5koh6;84=cga>30<5koj6;84=cg:>30<5ko36;84=cg5>30<5ko>6;84=cg7>30<5ko86;84=cg1>30<5ko:6;84=cg3>30<5knm6;84=cff>30<5kno6;84=cda>30<5klj6;84=cd:>30<5kl36;84=cd4>30<5kl=6;84=cd6>30<5kl96;84=cg4>30<5knh6;84=cf3>4c734i;97?j1:?af2<6m=1vn?7:1875~;>8j0:i=5291g95`4<50>n6e19>=<4=9l8014o=:0g3?8?f<3;n>636a982a5=:1hk1=h<4=8cf>4c7343i<7?j2:?:f1<6m9165o851d089;>k90:i=529b095`4<50i=6e19>=42=9l8014?7:0g3?8?6i3;n>6361d82a5=:1;:1=h<4=807>4c73439:7?j2:?:6d<6m9165?m51d089<5728o;707<2;3f6>;>;?0:i=5292:95`4<509h6e19>=12=9l8014:7:0g3?8?3i3;n>6365582a5=:1<<1=h<4=87b>4c7343>o7?j2:?:25<6m9165;<51d089<0128o;70798;3f6>;>>j0:i=5297g95`4<50=96e19>=2g=9l80149j:0g3?8??83;n>6368582a5=:11<1=h<4=8:b>4c73433o7?j2:?:=3<6m91654651d089;d9h095l5rsb34>5<59r72=07=:hi0147<:3c`?8?f=38jo636ac81ef=:1k;1>lm4=8`4>7gd343ih7b;0bg>;>:809mn5293596de<508o6?ol;<;07?4fk272?44=ab9>=6`=:hi014:::3c`?8?3j38jo6365681ef=:1lm4=840>7gd343=57;>0>09mn5299f96de<50326?ol;<;:b?4fk27h=44=9`9~wa642909=v394b82a6=:>191=h=4=7;1>4c434<2;7?j3:?5=f<6m:16:l?51d1893g128o8708nb;3f7>;1j90:i>5260c95`5<5?;m644>e29>27c=9l901;=<:0g0?80403;n?6393e82a6=:>=81=h=4=764>4c434<>=7?j3:?513<6m:16:8l51d18930728o870895;3f7>;1>h0:i>5267d95`5<5?=?6e29>2=>=9l901;6k:0g0?807n3;n?63l2481=d=z{m:96=4=3z?50f<6m816:5=51d3893?528o:70867;3f5>;11j0:i<526`395`7<5?k=6;<4bf?7b927=n=4>e09>24g=9l;01;?i:0g2?805<3;n=6392882a4=:>;o1=h?4=710>4c634<847?j1:?57a<6m816:9<51d38932028o:708:1;3f5>;1=?0:i<5264`95`7<5?<;6;<451?7b927=:l4>e09>23`=9l;01;9;:0g2?80013;n=6397d82a4=:>121=h?4=7:g>4c634<;j7?j1:?54`<6m816:<>51d389f432;3j7p}k2283>=}:?9:1n852bg696;<`:e?7b927i5n4>e39>gg3=9l;01:hl:0g2?8df83;n<6s|d3094?2|5?lm6o;4=b02>g3<5kl86?7n;<5e7?7b92wxh??50;7x93`b2k?01oh>:3;b?8df:3;n8638dg82a0=:?l:1=h;4}rf14?6==r7=ji4m5:?ab5<51h16nl<51d1892b428o>709k4;3f1>{tl8l1<7:t=7d`>g3<5kom6?7n;<5`3?7b=27e49~wa7b29070lje;0:e>;ek00:i<52cg495`7<5>hi6;dn?0:i?527`d95`3<5>h;6f`e=:0k01:o<:0g6?81f<3;n96s|d3c94?2|5>:=6o;4=cga>7?f34=247?j5:?4=<<6m<1vi<6:187817=3h>70lja;0:e>;00l0:i85279d95`356z?441ff1=9l901om::0g0?81?<3;n96388482a0=z{m8<6=4=5z?446e19>a>3;>5637fb821<=:0oo1=874=823>43>343;>7?:9:?:41<6=0165=8514;89<6?28?2706lc;36=>;?kl0:94528e2950?<51n96<;6;<:g0?721273h;4>589>=9<3015jn:07:?8>ck3;>5637dd821<=:0l81=874=9g7>43>342n:7?:9:?;a=<6=0164ho514;89=cd28?2706je;36=>;?n90:94528g0950?<51l?6<;6;<:e589>f`>=:0k01:9n:0g6?810j3;n96s|d3494?42s4=;>7l:;599><`6=9<2015h9:07;?8>ak3;>4637fd821==:19:1=864=821>43?343;87?:8:?:43<6=1165=6514:89=ed28?3706le;36<>;?l90:95528e0950><51n?6<;7;<:g2?720273h54>599>cm3;>4637e3821==:0l>1=864=9g5>43?342n47?:8:?;ad<6=1164hm514:89=cb28?3706i0;36<>;?n;0:95528g6950><51l36<;7;<:ee?72027ii;4=9`9>331=9l?01:87:0g6?xuc:<0;6?;t=622>g3<5j>;6569>am3;>;636018212=:1981=894=827>430343;:7?:7:?:4=<6=>164nm514589=eb28?<706k0;363>;?l;0:9:528e69501<51n=6<;8;<:g569>b:3;>;637e58212=:0l<1=894=9g;>430342nm7?:7:?;af<6=>164hk514589=`728?<706i2;363>;?n=0:9:528g:9501<51lj6<;8;<`f1?4>i27<9i4>e49>30c=9l?0q~j=4;296=}:>ok1n852c2595`4<5j9m6;<:`e?72>273i=4>579>am3;>:636018213=:1981=884=827>431343;:7?:6:?:4=<6=?164nm514489=eb28?=706k0;362>;?l;0:9;528e69500<51n=6<;9;<:g273hl4>579>b:3;>:637e58213=:0l<1=884=9g;>431342nm7?:6:?;af<6=?164hk514489=`728?=706i2;362>;?n=0:9;528g:9500<51lj6<;9;<`f0?4>i27im?4>e49>f<`=9l801nl::0g1?812<3;n=6s|d0f94?53s4549><`6=9ak3;>9637fd8210=:19:1=8;4=821>432343;87?:5:?:43<6=<165=6514789=ed28?>706le;361>;?l90:98528e09503<51n?6<;:;<:g2?72=273h54>549>cm3;>9637e38210=:0l>1=8;4=9g5>432342n47?:5:?;ad<6=<164hm514789=cb28?>706i0;361>;?n;0:98528g69503<51l36<;:;<:ee?72=27ii>4=9`9>35d=9l;015=l:0g2?8>4:3;n=6372882a4=:0;:1=h?4=934>4c6342;i7?j1:?;40<6m816;ho51d38921628o:709;4;3f5>;0;k0:i<5272095`7<5>826;<514?7b927<=:4>e09>31e=9l;0q~j:6;2967}:0j31=874=9fe>43>342m97?:9:?;bg<6=0164kj514;89=`a28?2707?1;36=>;>8:0:9452917950?<50:<6<;6;<:`f?721273oi4>589>:07:?8>c;3;>5637d4821<=:0m=1=874=9f:>43>342on7?:9:?;`a<6=0164h?514;89=c428?2706j5;36=>;?m>0:94528d;950?<51oi6<;6;<:f`?721273ik4>589>a?3;>5637f8821<=:k:n1=h>4=cg1>7?f3tyo984?:36x9=e>28?3706kf;36<>;?n<0:95528g`950><51lo6<;7;<:eb?720272<<4>599>=55=9<2014>::07;?8?7?3;>4637cc821==:0jn1=864=9ae>43?342o=7?:8:?;`6<6=1164i;514:89=b028?3706k9;36<>;?lk0:95528ef950><51o:6<;7;<:f7?720273i84>599><`1=9<2015k6:07;?8>bj3;>4637ee821==:0ll1=864=9d2>43?342m?7?:8:?;b2<6=1164k7514:89f5d28o:70lj1;0:e>;dk?0:i952b8495`787>525y>a=3;>;637fc8212=:0on1=894=9de>430343;=7?:7:?:46<6=>165=;514589<6028?<706lb;363>;?km0:9:528bd9501<51n:6<;8;<:g7?72?273h84>569>cj3;>;637de8212=:0l;1=894=9g0>430342n97?:7:?;a2<6=>164h7514589=ce28?<706jd;363>;?mo0:9:528g39501<51l86<;8;<:e3?72?273j44>569>g6e=9l:01ok?:3;b?8d>13;n>63ma582a4=z{m?86=4=5z?;g<<6=?164ih514489=`228?=706ib;362>;?nm0:9;528gd9500<50::6<;9;<;37?72>272<84>579>=51=9<<015mm:075?8>dl3;>:637cg8213=:0m;1=884=9f0>431342o97?:6:?;`2<6=?164i7514489=be28?=706kd;362>;?m80:9;528d19500<51o>6<;9;<:f3?72>273i44>579><`d=9<<015kk:075?8>bn3;>:637f08213=:0o91=884=9d4>431342m57?:6:?`7g<6m816nih528c89g?>28o?70lna;3f0>;ei00:i85rse71>5<5=r73o44>549>aj3;>9637fe8210=:0ol1=8;4=822>432343;?7?:5:?:40<6=<165=9514789=ee28?>706ld;361>;?ko0:98528e39503<51n86<;:;<:g1?72=273h:4>549>cl3;>9637e08210=:0l91=8;4=9g6>432342n;7?:5:?;a<<6=<164hl514789=cc28?>706jf;361>;?n80:98528g19503<51l<6<;:;<:e=?72=27h?o4>e19>fac=:0k01o76:0g3?8ddi3;n=63mcb82a7=z{joo6=4;7z?;gd<10273o4498:?;a5<10273hk498:?;b3<10273j8498:?;bf<10273jo498:?;b`<10273ji498:?:45<10273jk498:?:47<10272<<498:?:41<10272<>498:?:43<10272<8498:?:4=<10272<:498:?;gf<10273oo498:?;g`<10273oi498:?;`5<10273ok498:?;`7<10273h<498:?;`1<10273h>498:?;`3<10273h8498:?;`=<10273h:498:?;`d<10273h4498:?;`f<10273ho498:?;``<10273hi498:?;a7<10273i<498:?;a1<10273i>498:?;a3<10273i8498:?;a=<10273i:498:?;ad<10273i4498:?;af<10273io498:?;a`<10273ii498:?;b5<10273ik498:?;b7<10273j<498:?;b1<10273j>498:?;b=<10273j:498:?;bd<10273j4498:?`b7<51h16o>j51d389f2628o:70mm8;3f0>;e1>0:i952cga95`2<5jn<62?=015k?:7589=ba2?=015h9:7589=`22?=015hl:7589=`e2?=015hj:7589=`c2?=014>?:7589=`a2?=014>=:7589<662?=014>;:7589<642?=014>9:7589<622?=014>7:7589<602?=015ml:7589=ee2?=015mj:7589=ec2?=015j?:7589=ea2?=015j=:7589=b62?=015j;:7589=b42?=015j9:7589=b22?=015j7:7589=b02?=015jn:7589=b>2?=015jl:7589=be2?=015jj:7589=bc2?=015k=:7589=c62?=015k;:7589=c42?=015k9:7589=c22?=015k7:7589=c02?=015kn:7589=c>2?=015kl:7589=ce2?=015kj:7589=cc2?=015h?:7589=ca2?=015h=:7589=`62?=015h;:7589=`42?=015h7:7589=`02?=015hn:7589=`>2?=01nh>:3;b?8e4k3;n?63l4182a6=:kk21=h=4=bd`>4c43tyhio4?:57x9=ef2?<015m6:7489=c72?<015ji:7489=`12?<015h::7489=`d2?<015hm:7489=`b2?<015hk:7489<672?<015hi:7489<652?<014>>:7489<632?<014><:7489<612?<014>::7489<6?2?<014>8:7489=ed2?<015mm:7489=eb2?<015mk:7489=b72?<015mi:7489=b52?<015j>:7489=b32?<015j<:7489=b12?<015j::7489=b?2?<015j8:7489=bf2?<015j6:7489=bd2?<015jm:7489=bb2?<015jk:7489=c52?<015k>:7489=c32?<015k<:7489=c12?<015k::7489=c?2?<015k8:7489=cf2?<015k6:7489=cd2?<015km:7489=cb2?<015kk:7489=`72?<015ki:7489=`52?<015h>:7489=`32?<015h<:7489=`?2?<015h8:7489=`f2?<015h6:7489f`72;3j70m;d<90:i?52cc:95`4<5jlh62??015k?:7789=ba2??015h9:7789=`22??015hl:7789=`e2??015hj:7789=`c2??014>?:7789=`a2??014>=:7789<662??014>;:7789<642??014>9:7789<622??014>7:7789<602??015ml:7789=ee2??015mj:7789=ec2??015j?:7789=ea2??015j=:7789=b62??015j;:7789=b42??015j9:7789=b22??015j7:7789=b02??015jn:7789=b>2??015jl:7789=be2??015jj:7789=bc2??015k=:7789=c62??015k;:7789=c42??015k9:7789=c22??015k7:7789=c02??015kn:7789=c>2??015kl:7789=ce2??015kj:7789=cc2??015h?:7789=ca2??015h=:7789=`62??015h;:7789=`42??015h7:7789=`02??015hn:7789=`>2??01nki:3;b?8e4n3;n?63l3c82a6=:kk21=h?4=bd`>4c63tyhi44?:57x9=ef2?>015m6:7689=c72?>015ji:7689=`12?>015h::7689=`d2?>015hm:7689=`b2?>015hk:7689<672?>015hi:7689<652?>014>>:7689<632?>014><:7689<612?>014>::7689<6?2?>014>8:7689=ed2?>015mm:7689=eb2?>015mk:7689=b72?>015mi:7689=b52?>015j>:7689=b32?>015j<:7689=b12?>015j::7689=b?2?>015j8:7689=bf2?>015j6:7689=bd2?>015jm:7689=bb2?>015jk:7689=c52?>015k>:7689=c32?>015k<:7689=c12?>015k::7689=c?2?>015k8:7689=cf2?>015k6:7689=cd2?>015km:7689=cb2?>015kk:7689=`72?>015ki:7689=`52?>015h>:7689=`32?>015h<:7689=`?2?>015h8:7689=`f2?>015h6:7689fcb2;3j70m;d;k0:i?52cc:95`6<5jlh6q6o8l5b49>f=`=9l;01;k;:3;b?8d?j3;n<63m8e82a7=:>l?1=h:4=6d1>4c73tyo<54?:7y>2a`=:0k01o7j:0g3?80b93;n9639e382a0=:?mn1=h>4=62:>4c73tyo<94?:5y>f=`=9l:01;jk:3;b?80cm3;n8638d082a5=z{m?j6=4;{<53`?4>i27h8i4>e19>g1d=9l:01lo7:0g3?xuc=k0;6?u2a8a9660<5j9;64m4}rf35?6=:r7==<4=379>g65=1j1vi>?:18481al382m6398e82a7=:i?=1=h?4=`45>4c634i8?7l6;<7fg?7b927>io4>e09~wf`a2903w09i4;0:e>;1010:i?52a7095`7<5h<:6;in4>e29>1`d=9l901;k::0g1?xuc<80;6>u28d596g5<5?:?650;1x9=c22;h8708?3;3f6>;2nl0:i?5rse1e>5<4s42n?79;1=h<4=4d`>4c53tyo?n4?:2y>;:0g3?83aj3;n>6s|d2`94?5|51ni6?l<;<437?7b827>jl4>e39~wa5f2908w06k9;0a7>;18;0:i=525g;95`453z?;`2<5j:16:=?51d2890`?28o97p}k3983>7}:0m?1>o=4=4de>4c63tyo?:4?:3y>;|qg70<72;q64nh52c1890`d28o:7p}k3583>7}:0jn1>o=4=4da>4c63tyo?>4?:3y>;|qg15<72;q65=;52c1890`?28o:7p}k4g83>7}:1991>o=4=4de>4c43tyo8h4?:3y>=57=:k9018hj:0g0?xuc7}:0oh1>o=4=4da>4c43tyo854?:3y>6}:0kl1n8528b296no6;|qa52<72:q64o;5b49>:0g2?xue9?0;6>u28``9f0=:0hi1>4o4=6a6>4c73tyi=84?:2y>28o;7p}m1583>6}:00=1n85288:96ko6<=c=:0k01:o>:0g3?xue9;0;6>u278f95`5<51286o;4=9:7>7?f3tyi=<4?:2y>3<5=9l901596:c789=1f2;3j7p}m1183>6}:?131=h=4=94e>g3<51=;6?7n;|qa4c<72:q6;:h51d189=022k?01589:3;b?xue8l0;6>u277a95`5<51?i6o;4=97`>7?f3tyi334=9l9015;>:c789=352;3j7p}nc883>3}:0ok1n8528g;9f0=:09:1=h?4=8;g>g3<503m6?6<;<5eg?7b<2wxmn650;4x9=`?2k?015h8:c7892`028o:70767;`6?8?>1383?638f282a1=z{hi=6=49{<:e0?d2342m?7l:;<5f0?7b92724o4m5:?:;?n80i9638d982a4=:11?1n85299596=5<5>n96?ol;|qbg1<72?q64k>5b49><``=j<16;nm51d389<1a2k?0146>:3:0?81d>38jo6s|ab194?0|51on6o;4=9gg>g3<5>i;6;<;4=?d2343bk3h>706jb;`6?81e<3;n=636728a1>;>?<094>527`g96de56z?;ad=3`=:1901:o=:3c`?xufk90;6;u28d:9f0=:0l=1n85278f95`7<50<<6o;4=84:>7>434=2;770793;0;7>;00m09mn5rs``f>5<1s42n87l:;<:f7?d234=357?j1:?:1g3=5=:hi0q~omd;292~;?m;0i9637e08a1>;0?o0:i<529479f0=:1<=1>5=4=65:>7gd3tyjno4?:7y>33e=9l;014:6:c789<2e2;2870996;0bg>{tikk1<78t=9f`>g3<51ni6o;4=641>4c6343??7l:;<;71?4?;27<9n4=ab9~wdd>290=w06ka;`6?8>c13h>709:8;3f5>;>;m0i96363g81<6=:?<>1=h:4}rcar73h54m5:?;`2e09>=61=j<165>752918922d28o?7p}nb683>3}:0m<1n8528e79f0=:?=21=h?4=812>g3<50986?6<;<577?7b:2wxmo850;4x9=b32k?015j<:c78925a28o:707=b;`6?8?5l383?6383`82a7=z{hh>6=49{<:g6?d2342o=7l:;<502?7b9272>84m5:?:62<50:16;>?51d08yvge<3:1:v37d18a1>;?ko0i96382e82a4=:18l1n85293396=5<5>8362k?014?m:3:0?816n3;n>6s|ac094?0|51ih6o;4=9aa>g3<5>;i6;<;27?d2343:97<73:?453<6m;1vlj?:1858?703h>707?7;`6?8>383;n=636c68a1>;>k0094>5282`95`456z?:43=f5=:19015=>:0g1?xufkl0;6;u29169f0=:1991n85283f95`7<50hi6o;4=8`g>7>4342947?j2:pefb=83=:c789<662k?015<;:0g2?8?e=3h>707m7;0;7>;?9o0:i?5rs`a`>5<1s43;<7l:;<:eb?d2342:n7?j1:?:ec<40=9l80q~olb;292~;?nl0i9637fe8a1>;?9;0:i<529`;9f0=:1hh1>5=4=92g>4c53tyjol4?:7y><5?=9l;014o<:c789{tij=1<78t=9d5>g3<51l>6o;4=6gf>4c63432=7l:;<;:7?4?;27e39~wddd290=w06j0;`6?8>cn3h>70985;3f5>;>:1=h<4}rca5?6=>r73ol4m5:?;g<e09>=5b=j<165=h52918926f28o87p}nb183>7}:>1l1>5=4=8;f>4c43tyjmk4?:3y>2=g=:1901477:0g0?xue8<0;68u269f95`6<5h<=6io4>e19>3c`=:0k0q~l?4;291~;1010:i=52a7395`6<5;f=>0:i=527d19653z?53<<6m916m8<51d2892b02;3j7p}m0183>6}:>>>1=h>4=`6g>4c734=hn7<6a:pec`=839p1;8i:0g3?8g303;n<638bg81=d=z{hln6=4<{<45e?7b827j8>4>e19>3g5=:0k0q~oid;297~;1><0:i=52a2g95`6<5>k<6?7n;|qbbf<72:q6:;>51d289d5>28o;7096c;0:e>{tioh1<7=t=77a>4c734k887?j0:?4=7<51h1vlhn:180802>3;n<63n2g82a5=:?121>4o4}rce=?6=;r7=9<4>e19>e7g=9l:01:9j:3;b?xufn>0;6>u265595`6<5h8;6i2wxmk850;1x932528o;70o>b;3f4>;0>8095l5rs`d6>5<4s4<8h7?j0:?b53<6m916;89528c8yvga<3:1?v393982a5=:i8;1=h>4=66e>7?f3tyjj>4?:2y>265=9l:01l>l:0g3?813?382m6s|ag094?5|5?8n6;f8;0:i=527279653z?561<6m9165kj51d28924d2;3j7p}neg83>6}:>8l1=h>4=8d;>4c734=9?7<6a:pe`c=839p1;?n:0g3?8?a;3;n<6381`81=d=z{k:h6=4<{<4a4?7b827j444>e19><6`=:0k0q~l?b;297~;1ik0:i=52a9695`6<519>6?7n;|qa4d<72:q6:l851d289d1a28o;706=c;0:e>{tj931<7=t=7c2>4c734k7:18080>k3;n<63n7482a5=:08k1>4o4}r`33?6=;r7=5:4>e19>e26=9l:015?>:3;b?xue8?0;6>u268095`6<5hi2wxn==50;1x93>428o;70o:c;3f4>;0mm095l5rs`d;>5<4s4>31=h<4=`70>4c634k>>7?j1:?b1=<6m916:ik51d08yvgb;3:19v38c881=d=:>>>1=h<4=`6f>4c634k?h7?j1:?b16<6m91vlk=:18681el382m6396g82a7=:i=31=h?4=`6;>4c634k?i7?j0:pe`7=83?p1:l>:3;b?801i3;n>63n4582a4=:i=91=h?4=`6:>4c73tyji=4?:8y>3d3=:0k01;8::0g1?8g4n3;n=63n3d82a4=:i=>1=h>4=`;7>4c534k2?7?j2:?:4d<6m=165=l51d08yvgcn3:19v389`81=d=:>?:1=h<4=`1b>4c634k857?j1:?b7c<6m91vljj:18681>8382m6395c82a7=:i:?1=h?4=`17>4c634k8m7?j0:peab=83?p1:69:3;b?802>3;n>63n3182a4=:i;l1=h?4=`16>4c73tyjhn4?:4y>32e=:0k01;;>:0g1?8g5j3;n=63n2`82a4=:i::1=h>4}rcge?6==r7<:44=9`9>211=9l801l<>:0g2?8g583;n=63n2c82a5=z{hn26=4:{<56b?4>i27=8?4>e39>e4e=9l;01l?m:0g2?8g593;n<6s|ae:94?3|5>?>6?7n;<40`?7b:27j=:4>e09>e40=9l;01l?l:0g3?xufl>0;6:u275f96e09>e41=9l:01l7;:0g0?8?7i3;n=6s|ae494?3|5>>>6?7n;<407?7b:27je09>e5e=9l;01l?=:0g3?xufl<0;68u272a96e09>e5b=9l:0q~ok4;291~;0;:095l5263;95`4<5h:86;e19~wdb4290>w09=a;0:e>;1:=0:i?529gg95`7<50lo6;f;3f6>;>n00:i<529g:95`7<50ln6;>n:0:i<529g;95`655z?;7a<51h16:o>51d089d>f28o:70o79;3f5>;>n=0:i=5rs`ga>5<2s428?7<6a:?5eg<6m;16m5;51d389d>328o:70o7a;3f4>{tilk1<7;t=90b>7?f34228o;7p}ne883>0}:0;;1>4o4=7c2>4c534k51d28yvgb03:19v371981=d=:>0i1=h<4=`55>4c634k<97?j1:?b3g<6m91vlk8:1868>7n382m6399682a7=:i>;1=h?4=`53>4c634k<:7?j0:pe`0=83?p15>9:3;b?80>:3;n>63n6b82a4=:i?h1=h?4=`52>4c73tyji84?:4y>3`d=:0k01;6<:0g1?8g2l3;n=63n5b82a4=:i?i1=h>4}rcgf?6==r7<;?4=9`9>21e=9l801l<9:0g2?8g5=3;n=63n5e82a5=z{k9;6=4={<5ea?4>i27j5h4>e09~wg4a2909w09i5;0:e>;f1l0:i=5rsc0g>5<5s4=n>7<6a:?be5<6m<1vo382m63na182a1=z{k8i6=4={<5`e?4>i27jm=4>e29~wg4f2909w09me;0:e>;fi90:i?5rsc0:>5<5s4=i>7<6a:?be5<6m81vo<7:18181f>382m63na182a5=z{k8<6=4={<5:f?4>i27jm?4>e49~wg412909w0961;0:e>;fi;0:i95rsc06>5<5s4=3;7<6a:?be7<6m:1vo<;:181810l382m63na382a7=z{k896=4={<55e?4>i27jm?4>e09~wg462909w0990;0:e>;fi;0:i=5rsc03>5<5s4=>:7<6a:?be1<6m<1vo?i:181813m382m63na582a1=z{k;n6=4={<572?4>i27jm94>e29~wg7c2909w09;fi=0:i?5rsc3`>5<5s4=887<6a:?be1<6m81vo?m:181815j382m63na582a5=z{k;j6=4={<516?4>i27jm;4>e09~wg7>2909w09>9;0:e>;fi?0:i=5rsc14>5<5s428i7<6a:?be3<6m<1vo=9:1818>4<382m63na782a1=z{k9>6=4={<:1f?4>i27jm;4>e29~wg532909w06=2;0:e>;fi?0:i?5rsc10>5<5s42:57<6a:?be=<6m<1vo==:1818>68382m63na982a1=z{k9:6=4={<:33?4>i27jm54>e29~wg4b2909w09jc;0:e>;fi10:i?5rsc00>5<5s4=ai3;>8638fb82a7=z{k4>e39~wg0c2908w06i4;360>;0lo0:i9527d295`553z?;b7<6==16;i=51d6892b328o87p}m6c83>6}:0o:1=8:4=6a4>4c334=h47?j3:pf3g=839p15kj:077?81ej3;n8638bb82a6=z{k<26=4<{<:fg?72<27e59>3g6=9l90q~l98;297~;?mh0:99527`195`2<5>k?6{tj?<1<7=t=9g5>43334=3i7?j4:?4b<3;>86388582a1=:?1?1=h=4}r`50?6=;r73i?4>559>32g=9l>01:9m:0g0?xue>;0;6>u28eg9502<5><<6;0=l0:i>5rsc43>5<5s42om7?:4:?411<6m;1vo;i:1818>c03;>86384b82a7=z{k?n6=4={<:g2?72<27<8>4>e19~wg3c2909w06k4;360>;0;h0:i=5rsc7`>5<5s42o>7?:4:?474<6m91vo;m:1818>c83;>86382982a5=z{k?j6=4={<:`a?72<27<=k4>e19~wg3>2909w06lc;360>;09?0:i=5rsc54>5<5s43;47?:4:?;7g<6m91vo99:1818?7>3;>86373082a5=z{k=>6=4={<;30?72<273>54>e19~wg132909w07?2;360>;?9o0:i=5rsc50>5<5s43;<7?:4:?;53<6m91vo9=:1818>am3;>86370e82a5=z{k=:6=4={<:eg?72<273<94>e19~wg0b2909w06i6;360>;0m00:i=5rsc40>5<5s42n<7?:4:?435<6m91vo;7:1818>di3;>86380`82a4=z{k?;6=4={<:e=?72<273<=4>e19~wg2a2909w06i7;360>;0n>0:i=5rsc6g>5<5s42m?7?:4:?4a1<6m91vo:l:1818>a93;>8638d982a5=z{k>i6=4={<:fb?72<27e19~wg2f2909w06jd;360>;0k90:i=5rsc6:>5<5s42nn7?:4:?4f1<6m91vo:7:1818>b13;>8638a982a5=z{k><6=4={<:f3?72<27<5i4>e19~wg212909w06j5;360>;01:0:i=5rsc66>5<5s42n?7?:4:?4<<<6m91vo:;:1818>b93;>86387g82a5=z{k>96=4={<:g`?72<27<:n4>e19~wg262909w06kb;360>;0>;0:i=5rsc63>5<5s42o57?:4:?41=<6m91vo=i:1818>c?3;>86385182a5=z{k9n6=4={<:g1?72<27<854>e19~wg5c2909w06k3;360>;0;o0:i=5rsc1`>5<5s42o=7?:4:?473<6m91vo=m:1818>dn3;>86382e82a5=z{k9j6=4={<:``?72<27<>94>e19~wg5>2909w06lb;360>;09k0:i=5rsc74>5<5s43;;7?:4:?;05<6m91vo;9:1818?7=3;>86373782a5=z{k?>6=4={<;37?72<273>i4>e19~wg332909w07?1;360>;?:=0:i=5rsc70>5<5s42mj7?:4:?;5g<6m91vo;=:1818>al3;>86371382a5=z{k?:6=4={<:ef?72<273<44>e19~wg2b2909w06i5;360>;0ml0:i=5rsc60>5<5s42oj7?:4:?430<6m91vo=7:1818>d13;>86380g82a5=z{>;>6=4=dz?agc<50:16;4651d2892?>28o;7097e;3f4>;00o0:i=5279695`6<5>2>6e19>331=9l:01:87:0g3?812l3;n<6385d82a5=:?h91=h>4=6c7>4c734=jj7?j0:?4f5<6m916;ih51d2892c728o;709k3;3f4>;0l=0:i=527b595`6<5>i36e19>302=9l901::l:0g0?81a;3;n?638fb82a6=:?9k1=h:4=91a>4c33428=7?j4:?;6=<6m=164;?8=0:i9527d;95`2<5>=;6e59>367=9l>01:<7:0g7?816n3;n86381782a1=z{>>j6=4={<572?d234=?47<74:p31?=838p1::::c78922?2;2>7p}84c83>7}:?==1n85275196=552z?47a9n6o;4=61b>7>43ty362=j<16;>852968yv14?3:1>v38328a1>;0;?09485rs61:>5<5s4=897l:;<505?4?;2wx;?h50;0x924e2k?01:5;4}r504?6=:r7<>n4m5:?46=<50:1v:<9:181815:3h>709=4;0;0>{t?;?1<7g3<5>8?6?6:;|q462<72;q6;?=5b49>34`=:190q~9>d;296~;0900i96381c81<1=z{>;h6=4={<527}:0:o1n85285296=252z?;7a4j383?6s|82:94?4|519?6o;4=915>7>33ty3?:4?:3y><65=j<164>852978yv>413:1>v37348a1>;?;8094>5rs90e>5<5s429n7l:;<:1`?4?<2wx4?k50;0x9=4f2k?0155=4}r:12?6=:r73>?4m5:?;61<50=1v5<::1818>593h>706=4;0;1>{t0;=1<7g3<51;m6?6<;|q;5a<72;q64<75b49><4d=:1>0q~6>c;296~;?910i96371c81<0=z{1;n6=4={<:2e?d2342::7<73:p<42=838p15??:c789=752;2?7p}71283>7}:09l1n85280096=352z?;547138386s|81c94?4|51:=6o;4=92:>7>23ty3<5>=j<164=:52918yv1a83:1>v38eb8a1>;0ml09495rs6ge>5<5s4=nn7l:;<5fa?4?=2wx;k?50;0x92cc2k?01:k6:3:0?xu0?>0;6?u27619f0=:?>?1>5:4}r542?6=:r7<;?4m5:?430<50<1v:97:181810<3h>70980;0;7>{t?0l1<7g3<5>3o6?6;;|q4=`<72;q6;4o5b49>33>6=4={<5:5?d234=2?7<74:p3<2=838p1:7?:c7892?42;2>7p}89783>7}:?081n85279f96=552z?4<2f2909w0976;`6?81?138396s|79a94?4|5>236o;4=6:0>7>43ty<4<4?:3y>32b=j<16;:h52968yv1?83:1>v387b8a1>;0?o09485rs6:1>5<5s4=m0;6?u277;9f0=:??i1>5;4}r55b?6=:r7<:o4m5:?423<50:1v:8;:18181183h>70992;0;0>{t??91<7g3<5><96?6:;|q420<72;q6;;?5b49>30e=:190q~9:a;296~;0=?0i96385981<1=z{>?26=4={<561?d234=>47<75:p30d=838p1:;8:c7892332;287p}85383>7}:?=o1n85274296=2=7>52z?40ae19>=`c=9l:01:>j:3;b?806?3;n=6s|6d;94?4|5?l26?7n;<53f?7b:2wx:k>50;0x93`f2;3j70981;3f6>{t>o;1<77?f34=nm7?j2:p2c4=838p1:>=:3;b?8>7=3;n>6s|6g194?4|5>:86?7n;<:3a?7b:2wx:k:50;0x92632;3j706>7;3f6>{t>o?1<77?f3429<7?j2:p2c0=838p1:>9:3;b?8>513;n>6s|6g594?4|5>:<6?7n;<:06?7b:2wx:k650;0x926?2;3j706{t>lk1<77?f34=:;7?j2:p2`d=838p1;hl:3;b?81583;n>6s|6da94?4|5?lo6?7n;<51=?7b:2wx:hj50;0x93`b2;3j709<2;3f6>{t>lo1<77?f34=8n7?j2:p2``=838p1:>?:3;b?813<3;n>6s|7`a94?4|5>k<6o;4=6c1>7>43ty3d>=9l901:o>:3:0?xu0i00;6?u27`79f0=:?h21>5;4}r5be?6=:r7709ne;0;7>{t?k=1<74c434=jh7<73:p3g3=838p1:l>:c7892d32;2>7p}8b783>7}:?k81n8527c696=252z?4a6;0lm094>5rs6g6>5<5s4=n=7l:;<5f0?4?=2wx;h850;0x92c52k?01:k;:3:7?xu0nk0;6?u27g49f0=:?o91>5=4}r5ee?6=:r7e29>3c4=:190q~9i8;296~;0n=0i9638f681<0=z{>l26=4={<5e1?d234=m;7<74:p<55=838p1:hi:c7892`d2;287p}>ab83>4?7s42;<7?j3:?g33<61h16?5j5b49]5de70;;c;`6?832?3h>70;92;`6?831=3h>70;96;`6?831?3h>70;98;`6?83113h>70;9a;`6?831j3h>70;;d;`6?833m3h>70;:0;`6?83293h>70;:2;`6?832;3h>70;:4;`6?832=3h>70;:6;`6?83203h>70;:9;`6?832i3h>70;:b;`6?832k3h>70;:d;`6?832m3h>70;:f;`6?83183h>70;91;`6?831;3h>70;94;`6?81283;n86385982a1=:??81=h:4=64`>4c334=;0ml0:i95281;95`2<51;9694>e59><7b=9l>015=9:0g7?8>383;n86381c82a1=:?;>1=h:4=60g>4c334=8:7?j4:?47c<6m=16;9651d6892g?28o?709m4;3f0>;0m=0:i9527g595`2<51:;6e59>3f6=9l>01:>i:0g7?80e=33h708;c;3f0>;10:0:i95268095`2<5?3<6e59>2d0=9l>01;om:0g7?80e83;n86391`82a1=:>8l1=h:4=707>4c334<957?j4:?56`<6m=16:>=51d68935?28o?708;1<;0:i95265595`2<5??:6e59>236=9l>01;8::0g7?801i3;n86396g82a1=:>>>1=h:4=75:>4c334<;f<=0:i?52a5;95`4<5h>n6e39>e34=9l801l88:0g1?8?7m3;n<6360d82a4=:1<:1=h>4=873>4c63432>7?j0:?:=7<6m8165l:51d289;>ih0:i<529c295`6<50h;6;<;a2?7b8272n;4>e09>=ge=9l:014ll:0g2?8?d:3;n<636c382a4=:1j21=h>4=8a;>4c6343:87?j0:?:51<6m8165;>:90:i<5293495`6<508=6;<;1g?7b8272>n4>e09>=64=9l:014==:0g2?8?403;n<6363982a4=:1:o1=h>4=81f>4c6343?87?j0:?:01<6m81659o51d289<2f28o:707:6;3f4>;>=?0:i<5294a95`6<50?h6;<;56?7b8272:?4>e09>=3>=9l:01487:0g2?8?1m3;n<6366d82a4=:1>>1=h>4=857>4c634351d289<>728o:70776;3f4>;>0?0:i<5299a95`6<502h6;<;:e09>=283;n?6374g8a=>;?=:0:i=5284195`4<51?86;?>:0i56376682a5=:0?=1=h<4=944>4c4342=i7?j3:?;2ae19><27=9l80159>:0g0?8>003;n?637768a=>;??k0:i=5286`95`4<51=i6228o87067c;3f7>;?0k0i56378g82a5=:01l1=h<4=9:e>4c43422:7?j3:?;=0e19><f83;n?6379g8a=>;?i:0:i=528`195`4<51k86;?j:0i5637b682a5=:0k=1=h<4=9`4>4c4342ii7?j3:?;g6<>k273ni46c:?;g4<6m;164n?51d18923?28o8709:0;3f7>;0<10:i>5272d95`5<5>9=694>e29>34d=9l9015:?:0g0?8>4>3;n?6372e82a6=:0;>1=h=4=93a>4c4342:>7?j3:?;4<<6m:16;hk51d18921228o870o65;`:?8e313h270m:3;3f7>;d==0:i>52c5g95`7<5j>n6e29>g10=j016o><5b89>g06=j016o995b89>g7b=9l901n:7:c;89f4b2k3015m?:7589=d12?=015ol:7589=g52?=01577:7589=>b2?=0156;:7589=1f2?=0159?:7589=012?=015;l:7589=352?=01oh;:7589g`42?=01oh>:7589g`72?=01oki:7589gcb2?=01okk:7589gcd2?=01okm:7589gcf2?=01ok6:7589gc?2?=01ok9:7589gc22?=01ok;:7589gc42?=01ok=:7589gc62?=01ok?:7589gba2?=01ojj:7589gbc2?=01ohm:7589g`f2?=01oh6:7589g`?2?=01oh8:7589g`12?=01oh::7589g`52?=01ok8:7589gbd2?=018kj:0g7?83bn3;n86390182a1=:>9?1=h<4=726>4c434<;97?j4:?6aa<6m=169hm51d6897ba2k?01n<8:8c89f402k?018k7:cc890c?2j?018k7:e2890c?2m>018k7:e44?83b03n=463:e98g2<=:=l21h;l4=4g;>7g534?n47i84l5:?6a0i84k4:?6a0>169h;5d7:890c22m<270;j5;f5f>;2m<09m?525d796d5<5f3<54=4g1>a2<5i?4k689>1`4=l?h018k=:3c1?83b:38j?63:dg8ae>;2lo0h963:dg8g4>;2lo0o863:dg8g22=:=ml1h;64=4fe>a0>34?oj7j9b:?6`c<5i;169ih52`1890bd2kk018jl:b7890bd2m:018jl:e6890bd2m<<70;kc;f5<>;2lj0o:4525ea9`3d<5h44ma:?6`<h44k0:?6`<h44k669>1a?=l?2018j6:e4:?83c13n=n63:d881e7=:=m31>l=4=4f5>gg<5a6<5a0034?o:7j98:?6`30169i85d7`890b12;k970;k6;0b7>;2l:0im63:d28`1>;2l:0o<63:d28g0>;2l:0o::525e19`3><5h>4=a39>1a5=:h90q~6?1;296~;0nm0i96370181<0=z{1:96=4={<5ea?d2342;<7<74:p3ae=838p1:j8:c7892b52;287p}8dc83>7}:?m21=h=4=6f2>7>43ty3a3=j<16;i652978yv1ci3:1>v38d78a1>;0l109495rs6f3>5<5s4=hn7l:;<5`2?4?;2wx;nh50;0x92ed28o8709l5;0;7>{t?jn1<7g3<5>ih6?6:;|q4g`<72;q6;no5b49>3fe=:1>0q~9l4;296~;0jo0i9638b`81<6=z{>i86=4={<5`4?7b;27hn6o;4=6a3>7>33ty<=>4?:3y>35c=j<16;=o52918yv16:3:1>v380g82a6=:?931>5=4}r524?6=:r7<:181817l3h>709?f;0;0>{t>0;1<7g?<5?2o6?6;;|q5=5<72:q6:5h5227893>b2;kh7087d;0;1>{t>1i1<7g?<5?236?6;;|q5>2;kh70878;0;1>{t>181<7g?<5?=n6?6;;|q5<4<72:q6:5>52278931a2;kh7088e;0;1>{t>>n1<7g?<5?=26?6;;|q53f<72:q6::l52278931f2;kh70889;0;1>{t>>21<7g?<5?=?6?6;;|q532<72:q6::85227893122;kh70884;0;1>{t>>91<7g?<5?{t>?o1<7g?<5?{t>?31<7g?<5?<>6?6;;|q52=<72:q6:;95227893012;kh70895;0;1>{t>?>1<7g?<5?<;6?6;;|q526<72:q6:;<5227893062;kh70890;0;1>{t>g?<5??i6?6;;|q51`<72:q6:8j52278933d2;kh708:b;0;1>{t>g?<5??=6?6;;|q51<<72:q6:865227893302;kh708:6;0;1>{t>g?<5??:6?6;;|q511<72:q6:8=5227893352;kh708:1;0;1>{t>=h1<7g?<5?><6?6;;|q50d<72:q6:9752278932?2;kh708;7;0;1>{t>=<1<7g?<5?>96?6;;|q500<72:q6:9:5227893242;kh708;2;0;1>{t>=;1<7g?<5?9o6?6;;|q505<72:q6:>h52278935b2;kh708{t>:i1<7g?<5?936?6;;|q57g<72:q6:>o52278935>2;kh708<8;0;1>{t>:=1<7g?<5?986?6;;|q573<72:q6:>;5227893532;kh708<3;0;1>{t>:81<7g?<5?8n6?6;;|q574<72:q6:>>52278934a2;kh708=e;0;1>{t>;n1<7g?<5?826?6;;|q56f<72:q6:?l52278934f2;kh708=9;0;1>{t>;21<7g?<5?8?6?6;;|q562<72:q6:?85227893422;kh708=4;0;1>{t>;91<7g?<5?;m6?6;;|q567<72:q6:??5227893472;kh708>f;0;1>{t>8o1<7g?<5?;j6?6;;|q55a<72:q6:a;0;1>{t>k>1<7g?<5?h;6?6;;|q5f6<72:q6:o<5227893d62;kh708m0;0;1>{t>hl1<7g?<5?ki6?6;;|q5e`<72:q6:lj5227893gd2;kh708nb;0;1>{t>hk1<7g?<5?k=6?6;;|q5e<<72:q6:l65227893g02;kh708n6;0;1>{t>h?1<7g?<5?k:6?6;;|q5e1<72:q6:l=5227893g52;kh708n1;0;1>{t>h:1<7g?<5?3h6?6;;|q5=c<72:q6:4k5227893?c2;kh7086c;0;1>{t>0h1<7g?<5?3<6?6;;|q5=d<72:q6:475227893??2;kh70867;0;1>{t>0<1<7g?<5?396?6;;|q5=0<72:q6:4:5227893?42;kh70862;0;1>{t>1=1<7g?<5?286?6;;|q5<3<72:q6:5;5227893>32;kh70873;0;1>{t><:1<7g?<5?>h6?6;;|q50c<72:q6:9k52278932c2;kh708;c;0;1>{t>m81<7=t=7`6>75134<3j77l;<4;a??d3ty=n;4?:3y>2g1=::<01;l::c;8yv0e13:1>v39b981<6=:>k?1>lm4}r4`0?6=:r7=8i4=379>244=1j1v;mi:180803m33h708;d;;`?80?<388:6s|6e194?5|5?2>64m4=7:7>2<5=1j16:4652248yv0c=3:1?v39988:g>;11102o6399e8173=z{?n=6=4<{<4:a??d34<2h77l;<4b6?44>2wx:i950;1x93g420i01;o=:8a893g02;9=7p}9d983>6}:>h215n526`59=f=:>hi1>>84}r4g=?6=;r7=mi46c:?5ef<>k27=n<4=379~w3df2908w08m2;;`?80e933h708>b;002>{t>kh1<7=t=73`>7513ty=nn4?:2y>277=1j16:?>59b9>273=::<0q~8md;297~;1:?02o639248:g>;1:h09?;5rs7`f>5<4s4<9n77l;<41e??d34<9j7<<6:p2g`=839p1;=?:8a8934a20i01;=;:315?xu1k90;6>u26279=f=:>:>15n5262;966053z?57d<>k27=?446c:?57`<5;?1v;m=:180804n33h708?64m4=760>36?=9;|q5g0<72:q6:9759b9>21>=1j16:8<52248yv0d>3:1?v39528:g>;1=;02o639568173=z{?i<6=4<{<46;77l;<46g?44>2wx:n650;1x933c20i01;;l:8a893062;9=7p}9c883>6}:>?815n526739=f=:>?<1>>84}r4`e?6=;r7=::46c:?523<>k27=:o4=379~w3ee2908w089c;;`?801j33h70880;002>{t>ji1<7=t=752>7513ty=oi4?:2y>220=1j16::;59b9>22g=::<0q~8le;297~;1?k02o6397`8:g>;1?o09?;5rs7f3>5<4s4<3<77l;<44b??d34<357<<6:p2a7=839p1;6n:8a893>>20i01;6j:315?xu19?0;6>u261g95`4<5?;96?ol;<423?4?;2wx:<;50;1x93752;9=708>1;;`?806;33h7p}91883>7}:>8:1>>84=732>g?53z?54`<50:16:7p}91583>7}:>9l1>5=4=72f>4c43tyj5?4?:8y>e44=9l801l?8:0g1?8g6k3;n>63n2082a7=:i:k1=h<4=`16>4c534k8<7?j2:?b6g<6m;16m4=52918yvg113:1>v3n6982a4=:i?<1>5=4}rc5e?6=:r7j:54>e19>e31=:190q~o94;296~;f>:0:i<52a7396=552z?b26<6m916m;<52918yvg2i3:1>v3n5882a4=:i<=1>5=4}rc6f?6=:r7j944>e19>e0>=:190q~o:5;296~;f==0:i<52a4096=5:7>52z?b11<6m916m8=52918yvg283:1>v3n4g82a4=:i=n1>5=4}rc65?6=:r7j8k4>e19>e1c=:190q~o;b;296~;f52z?b0d<6m916m9752918yvg3>3:1>v3n4482a4=:i=91>5=4}rc73?6=:r7j884>e19>e12=:190q~o;1;296~;f<90:i<52a2g96=57>52z?b05<6m916m>h52918yvg4k3:1>v3n3c82a4=:i:31>5=4}rc0`?6=:r7j?o4>e19>e6g=:190q~o<7;296~;f;?0:i<52a2696=552z?b73<6m916m>;52918yvg4:3:1>v3n3082a4=:i;l1>5=4}rc07?6=:r7j?<4>e19>e66=:190q~o=d;296~;f:j0:i<52a3c96=552z?b6f<6m916m?l52918yvg5;3:1>v3n2382a4=:i;:1>5=4}rc10?6=:r7j>?4>e19>e77=:190q~o>e;296~;f9m0:i<52a0`96=552z?b5a<6m916mv3n1982a4=:i8<1>5=4}rc2e?6=:r7j=54>e19>e41=:190q~o>4;296~;f9:0:i<52a0396=552z?b56<6m916m<<52918yvg7n3:1>v3n0d82a4=:i9i1>5=4}rc24?6=:r7j

e19>e5b=:190q~o?a;296~;f800:i<52a1596=552z?b4<<6m916m=652918yvg7=3:1>v3n0582a4=:i981>5=4}rc32?6=:r7j<94>e19>e55=:190q~o?0;296~;>no0:i<529gf96=552z?:bc<6m9165kk52918yv?aj3:1>v36f`82a4=:1o21>5=4}r;eg?6=:r72jl4>e19>=c?=:190q~7i6;296~;>n<0:i<529g196=552z?:b0<6m9165k:52918yvg?k3:1>v3n8c82a4=:i131>5=4}rc;`?6=:r7j4o4>e19>e=g=:190q~o77;296~;f0?0:i<52a9696=552z?b<3<6m916m5;52918yvg?:3:1>v3n8082a4=:i>l1>5=4}rc;7?6=:r7j4<4>e19>e=6=:190q~o8d;296~;f?j0:i<52a6c96=552z?b3f<6m916m:l52918yvg003:1>v3n7682a4=:i>?1>5=4}rc4=?6=:r7j;:4>e19>e20=:190q~o83;296~;f?;0:i<52a6296=552z?b37<6m916m:?52918yvg1m3:1>v3n6e82a4=:i?h1>5=4}rc5b?6=:r7j:i4>e19>e3e=:190q~o:f;296~;f=l0:i<52a4a96=552z?b1`<6m916m8j52918yvg503:1>v3n2682a4=:i;?1>5=4}rc1=?6=:r7j>:4>e19>e70=:190q~7i1;296~;>n90:i<529dg96=57>52z?:b5<6m9165hh52918yvg>93:1=4>e39>=cc=9l801l6n:0g1?8g?=3;n>63n8182a7=:i>h1=h<4=8d:>4c534k<:7?j2:?b34<6m;16m;m51d089d3c28o970o=6;3f6>;>n=0:i?52a8696=557z?b1=<6m816;h?528c8931b28o970o:7;3f5>;f>;0:i=526d395`5<5?o96q65hh51d38926d2;3j708?f;3f6>;>ml0:i<52a3495`6<5?;<6{t1jo1<77>4343;o7?j1:p=a?=838p1l<8:3:0?8?3m3;n=6s|9d694?4|5h?n6?6<;<;:4?7b92wx5h950;0x9d0c2;28707n2;3f5>{t1l21<77>4343j47?j1:p=`?=838p1l98:3:0?8?fm3;n=6s|9dc94?4|5h=h6?6<;<;a0?7b92wx5hl50;0x9d>62;28707ma;3f5>{t1li1<77>4343h<7?j1:p=`b=838p1l6m:3:0?8?d>3;n=6s|9bd94?4|50l>6?6<;<;26?7b92wx5i>50;0x9<`f2;28707>8;3f5>{t1m;1<77>4343:i7?j1:p=a4=838p1l>;:3:0?8?5<3;n=6s|9e194?4|5h:26?6<;<;1e?7b92wx5i:50;0x9d6b2;28707<0;3f5>{t1m?1<77>43438:7?j1:p=a0=838p1l?7:3:0?8?4k3;n=6s|9e594?4|5h;o6?6<;<;76?7b92wx5i650;0x9d452;28707;8;3f5>{t1mk1<77>4343>87?j1:p=ad=838p1l=>:3:0?8?2i3;n=6s|9ea94?4|5h9=6?6<;<;54?7b92wx5ij50;0x9d5e2;2870796;3f5>{t1mo1<77>4343=o7?j1:p=a`=838p1l:::3:0?8?0:3;n=6s|9d294?4|5h>j6?6<;<;4{t1l81<77>4343387?j1:p=`5=838p1l;6:3:0?8??i3;n=6s|9d794?4|5h<86?6<;<;:2?7b92wx5h850;0x9d0?2;287076c;3f5>{t1h;1<74c73432i7<73:p=d6=838p147i:0g2?8?>k383?6s|98`94?4|5032628o:70766;0;7>{t11l1<74c73433o7<73:p==c=838p146k:0g2?8??i383?6s|99;94?4|502<6028o:70774;0;7>{t1191<74c73433<7<73:p==4=838p146>:0g2?8?0m383?6s|96f94?4|50=i6{t1>=1<74c7343<87<73:p=20=838p149::0g2?8?0:383?6s|96394?4|5050;0x9<0a28o:7079c;0;7>{t1?h1<74c7343=47<73:p=3g=838p1486:0g2?8?1>383?6s|97794?4|50<86{t14c7343>o7<73:p=0c=838p14;k:0g2?8?2i383?6s|94;94?4|50?<6{t1=n1<74c7343?m7<73:p=1e=838p14:m:0g2?8?30383?6s|95594?4|50>>6{t1=;1<74c73438i7<73:p=16=838p14=i:0g2?8?4k383?6s|92`94?4|50926o50;0x9<5>28o:707<6;0;7>{t1:?1<74c73438>7<73:p=62=838p14=<:0g2?8?48383?6s|93d94?4|508o6{t1;31<74c73439:7<73:p=7>=838p14<8:0g2?8?5<383?6s|93194?4|508:6e;0;7>{t18n1<74c7343:m7<73:p=4e=838p14?m:0g2?8?60383?6s|90594?4|50;>62;0;7>{t1jh1<74c7343h47<73:p=fg=838p14m6:0g2?8?d>383?6s|9b794?4|50i86{t1kl1<74c7343io7<73:p=gc=838p14lk:0g2?8?ei383?6s|9c;94?4|50h<6{t1k91<74c7343i<7<73:p=g4=838p14l>:0g2?8?fm383?6s|9`f94?4|50ki6{t1h=1<74c7343j87<73:p=d0=838p14o::0g2?8?f:383?6s|98794?4|50386{t1<91<74c7343><7<73:p=04=838p14;>:0g2?8?3m383?6s|90394?4|50:m650;0x9<6a28o:707?c;0;7>{t1931<7?>{<;3g?7b:2725=4>e39>=1c=9l8014=?:0g1?8?5i3;n>6362582a7=:18o1=h<4=83;>4c5343:>7?j2:?:g3<6m;165n>51d089;>il0:i?529`:95`4<50k96;><;0:i?5295:95`4<50??6e39>=30=9l8014>m:3:0?xu?k=0;6>u28b096;<:`4?d23ty3o;4?:2y>d9383?6s|8b794?4|51hn6;?j?0i96s|8ca94?5|51h26?=:;<:a7?4fk273n:4=829~w=de2909w06m4;3f4>;?j0094>5rs9`3>5<4s42ji7<6a:?;ea<6m8164lm5b49~w=d52908w06nf;001>;?i009mn528`f96=552z?;ed<6m9164lh52918yv>f>3:1?v37a581=d=:0h91=h?4=9c1>g353z?;e0<5;<1644h52`a89=g42;287p}7a683>7}:0h:1=h>4=9c6>7>43ty35n4?:2y><>03h>7p}79d83>6}:00h1>>;4=9;6>7gd342257<73:p<>j383?6s|88094?5|513;6?7n;<:;b?7b92734h4m5:p<<2=839p157>:316?8>?j38jo6378g81<6=z{1386=4={<:;g?7b82735<4=829~w=>?2908w0676;0:e>;?0<0:i<528969f0=z{12j6=4<{<:;3?44=2734<4=ab9><=3=:190q~679;296~;?0;0:i=5289596=553z?;3f<51h164:l51d389=1f2k?0q~670;297~;??m09?85286596de<51=i6?6<;|q;3c<72;q64:651d289=1c2;287p}77583>6}:0>81>4o4=952>4c6342<<7l:;|q;33<72:q64:=522789=0c2;kh70681;0;7>{t0>?1<74c73421?3;n=637678a1>{t0?i1<7=t=94:>752342=?71<3;n<6376881<6=z{1<;6=4<{<:6a?4>i2739i4>e09><0e=j<1v58=:1808>2n38896375881ef=:05=4}r:55?6=:r739l4>e19><0`=:190q~6:6;297~;?==095l5284195`7<51?96o;4}r:6<1`=:hi015;<:3:0?xu?=>0;6?u284295`6<51?>6?6<;|q;01<72:q648;59b9><1`=1j1648752248yv>3=3:1?v375g8:g>;?=002o637628173=z{1>=6=4<{<:5=??d342=?77l;<:5`?44>2wx49950;1x9=1420i0158k:8a89=102;9=7p}74983>6}:0>n15n528659=f=:01;1>>84}r:7=?6=;r734:46c:?;<4<>k2734o4=379~w=2f2908w0661;;`?8>?j33h70665;002>{t0=h1<7=t=9;a>64m4=9;e>7513ty38n4?:2y>;?j:09?;5rs96f>5<4s42i577l;<:a7??d342ih7<<6:p2`>=838p1;jl:3:0?80b<382n6s|6d594?4|5?nm6?7m;<4gf?4?;2wx:h850;0x93bf2;28708kd;0:f>{tih=1<77gd34kj47<73:ped3=838p1l7m:3c`?8gf>383?6s|a`194?4|5h3j6?ol;2;kh70on2;0;7>{ti0l1<77gd34kj<7<73:pem383?6s|a`g94?4|5h3i6?=9;ev3n988173=:i0k15n5rs`ca>5<5s4k247<<6:?b=<<>k2wxmlo50;0x9d?02;9=70o68;;`?xufi00;6?u2a879660<5h3<64m4}r`aa?6==r7im84>e39>fg2=9l;01ol::0g2?8df>3;n863mbg81<6=z{ki86=4<{<``3?7b<27io84>e59>ff2=:190q~lmc;2957}:kj<1=h;4=c;:>4c234h2n7?j5:?a=d<6m<16nnk51d789g>028o>70lmd;0;7>;e1?0:i952c3295`4<5jh?6e19>fd`=9l?01omi:0g7?8de83;n?63m9g82a0=:0:k1=h;4=b:f>4c33tyh=>4?:3y>g20=:0h01n?;:3:0?xud980;69u2cgf96j27h=?4=829~wf?>2909w0mjf;`6?8e>1383?6s|c8`94?4|5jl;6o;4=b;a>7>43tyh5i4?:3y>gc7=j<16o4j52918yved93:1>v3lc381<6=:khn1=h;4}ra3b?6=g77=:0h01n?l:3;a?8e68383?6s|c1f94??|5jn?6?7m;j27i;54=9c9>g4?=:0h01n?n:3;a?8ebm382n63lf381=g=:km?1>4l4=b2f>7>43tyh=84?:5y>gd2=:0h01no::3;a?8efi382n63l1781<6=z{jhm6=4;{7513tyh4?4?:3y>fg6=:1901n1>5=4}ra;4?6=:r7h>l4m5:?aec<50:1vn>n:1818e4i3h>70ln5;0;7>{tk9h1<7g3<5kk=6?6<;|q`a2<72;q6o9:5b49>fg3=:190q~m8a;296~;d:10i963mae81<6=z{jli6=4={7513tyhn:4?:3y>g5e=9l;01nl7:3:0?xuejh0;6?u2c`c9f0=:jkh1>5=4}ra57?6=i4>e09>g7`=9l;01oo8:0g1?8df1383?6s|c7694?2|5j8o6e19>fdg=:190q~llb;296~;di?0i963mcc81<6=z{ji4=829~wfd>2909w0m97;`6?8eei383?6s|c`294?4|5j;i6o;4=bc2>7>43tyioi4?:3y>g`>=j<16nnk52918yvd?:3:1>v3l1b8a1>;e1k094>5rsc:2>5<5s4i:h7l:;<`:e?4?;2wxn5>50;0x9fb22k?01o76:3:0?xudk>0;6?u2c2:96=5<5j>>6?ol;|qa3c<72;q6no85b49>f<>=:190q~m9a;296~;d::09?;52c3g9=f=z{jnh6=4;{27h8546c:?agc<6m:164>o51d68yve?k3:18v3l518173=:k<;15n52cb095`6<5j226;d:90:i95rsc:5>5<5s4io<7l:;<`:`?4?;2wxo8750;0x9f2528o:70m;0;0;7>{tk4c734i8n7<73:pf=5=838p1o97:c789g?d2;287p}m8583>7}:k>91n852b9796=552z?`6a<6m;16no=52918yvdd83:19v3l1582a7=:j0n1=h>4=ca2>7>434hhn7?j5:?af5<6m=1vnm6:1818e4>383?63l3982a4=z{ji36=4={e19~wf?b2909w0mk4;`6?8e>n383?6s|c4:94?4|5j>96{tkj?1<7=t=bca>g3<5ji=6?6<;{tjj<1<7g3<5ki<6?6<;|qag7<72;q6ol:5b49>ff3=:190q~mn8;297~;d;809?;52c209=f=:jhh1=h:4}ra5=?6=;r7h=h4m5:?`63<5;?16o?=59b9~wfbe2909w0m;6;002>;d<>02o6s|ce094?4|5j?;6?ol;;e0m0:i=526d795`752z?`b=<6m816o:;52918yve1n3:18v3mcd82a7=:k>:1>5=4=cca>4c234i=i7?j4:pg=1=838p1n;>:3c`?8e?0383?6s|c7094?4|5j8>6o;4=cc;>7>43tyh:<4?:3y>g72=j<16nl952918yvde13:1>v3lf`82a7=:jh91>5=4}ragb?6=:r7h8:4=ab9>g`6=:190q~mkd;296~;d7>58z?`6=<51k16o?7528`89f4f2;3i70m;4;0:f>;d<:095o52c2;96de<5j9j6?7m;{tk<=1<77>434i8i7?j2:pgc1=839p1nh7:0g1?8e7k3;n>63lf681=d=z{j2i6=4={27h9=46c:pgd?=838p1n==:3c`?8de9383?6s|b8694?4|5j8=6o74=c;f>7>43tyh;h4?:3y>g1e=:1901n=>:c;8yve?<3:1>v3l4d81<6=:k:;1>lm4}ra`7?6=:r7h9?4m9:?`11<50:1vnlj:1818e2:38jo63l5281<6=z{j=o6=4={34i?n7<73:pg=5=838p1n=?:3c`?8e3l383?6s|c`594?4|5j9;6?=9;gc>=:1901n=j:0g0?8e3:3;n?6s|cca94?4|5jho6;?j?02m637ab8:e>;?i;02m637998:e>;?0l02m637858:e>;??h02m637718:e>;?>?02m6375b8:e>;?=;02m63md`8:g>;elk02o63mf58:e>;en:02m63mf08:e>;en902m63meg8:e>;eml02m63mee8:e>;emj02m63mec8:e>;emh02m63me88:e>;em102m63me78:e>;em<02m63me58:e>;em:02m63me38:e>;em802m63me18:e>;elo02m63mdd8:e>;elm02m63mfc8:e>;enh02m63mf88:e>;en102m63mf68:e>;en?02m63mf48:e>;en;02m63me68:e>;elj02m6s|b9g94?4|5j<>6o;4=c:e>7>43tyhh84?:2y>ga3=:0k01;jj:0g2?8edm3;n=6s|ce294?4|5jn;6?7n;<4ge?7b92wxoh?50;0`8eb83;n?63ma682a5=:jh21=h<4=b0a>7?e34imm7?j1:?`a4<51h164>o51d289fg428o970mj2;3f6>;d:90:i852b9f95`7<5kk86e09>34c634=;0i:0:i<527`695`7<5>km6;<5a4?7b927e09>3`6=9l;01:j<:0g2?81c<3;n=638c682a4=:?j21=h?4=6`a>4c634=io7?j1:?411<6m916;9m51d2892`428o;709ic;3f4>;d990:i=52ceg95`5<5>:j651d089fd128o:70mm3;0:e>;dj=0:i?526d795`5<5?nn6e29~wfgd2908w0mnc;0:e>;dim0:i=52bc595`655z?`ba<51h169::51d189f6d28o?70m:c;3f6>;d?<0:hk5rsbf7>5<5s4io87<6a:?`71<6m91vno::18;8ef=382m63ld`82a7=:k4c634?<:7?j5:?a=`<6m:16onh51d6893c72;kh7p}la583>1}:kh>1>4o4=c;f>4c534ihj7?j1:?5a4<6m;1vnon:18`8efi382m63l5282a7=:k<>1=h<4=457>4c234ih<7?j0:?`1f<6m816nlm51d289g?b28o?70mle;3f6>;1m80:i<526d095`7<5j;=6;|q`51d289fbb28o:70m7d;0:e>;djm0:i<52c`195`6<5kii6e29>g=c=9l:01ne39~wfde290>w0mmb;0:e>;d;ej>0:i<5rsbd0>5<3s4im87?j1:?`b6<51h16:il51d0893c428o:7p}lce83><}:kjn1>4o4=bfb>4c634i>o7?j4:?a3<<6m;169:851d689g?b28o>70mlf;3f4>;dkl0:i>526d095`257z?a=2<6m:16o5;528c89fe528o?70mk7;3f0>;d0?0:i=52c9:95a`<5j226;|q`e3<72;eik0:i?52ceg95`654z?`eg<51h16o5o51d389fd728o?70m79;3f4>{tk>=1<7?7{e39>g6b=9l801n:>:0g1?830<3;n8639d`82a5=:k9i1=h>4=bg3>4c634im87?j0:?`f3<6m916o:9528c89fe528o970lm7;3f7>;dj90:i>52c4a95`6<5?ni6e19>2ac=9l:01o7j:0g2?8edm3;n8639e082a5=:>l81=h>4=b::>4c43tyh9o4?:2y>g0d=:0k01o6m:0g2?8d?l3;n?6s|c7f94?5|5j;;4>e29~wfb>2908w0ml0;3f6>;dl0095l52c0495`653z?`20<51h16oh>51d289fc528o87p}l5g83>6}:k4o4=b`3>4c734io;7?j0:pf2g=839p1o9n:3;b?8d>?3;n=63lb182a7=z{kh=6=48{<`a2?4>i27h9>4>e19>g02=9l:01o78:0g3?8e?i3;n<63mab82a0=:k131=h<4}rag5?6=:r7hh<4=9`9>g5e=9l?0q~mj4;296~;d<:095l52c5`95`752z?`01<51h16o9j51d38yve?93:1?v3l4b82a4=:k;h1>4o4=b0`>4c73tyh;k4?:3y>g7g=:0k01n:m:0g1?xud?k0;6?u2c3;96n67}:k=l1>lm4=b26>7>43tyho94?:8y>g05=9l;01n;;:0g2?8dan382m63m8682a4=:jk;1=h>4=cca>4c434hi>7?j1:?`40<6m916no951d08yve>;3:1=>u2c2495`4<5kl96?7n;e39>f4c434h2:7?j2:?ae7<6m8164>o51d389f4728o870mm4;3f0>;dn<0:i952bbd95`6<5kk;6;<``5?7b92wxo4:50;dx9f`c2k?01n=::0g1?8e4>3;n?63mf481=d=:jj=1=h<4=ca6>4c734hih7?j0:?`e4<6m916nlo51d189gg>28o870ln3;3f0>;dn<0:i?52bcd95`4<5kkn6;<``5?7b82wxo4850;028d>;3h>70m<7;3f5>;en>095l52cb495`5<5k326e59>ffc=9l>01o68:0g7?8dd<3;n=63lb`82a4=:j1?1=h?4=c;5>4c734hj>7?j2:?agd<6m916nlo51d389gg>28o970m=0;3f4>;dj<0:i=52cba95`7<5kk?6e09>fd`=9l>01nh9:0g0?8den3;n=63l7382a1=:jho1=h<4=cae>4c634hi<7?j0:?a=c<6m916nn?51d689=5f28o97p}l9483>75|5k396o;4=b14>4c734i897?j3:?ab3<51h16on851d389g?>28o:70l6b;3f7>;e1h0:i>52bc195`4<5kin6e19>ggg=9l:01o6::0g3?8d>>3;n?63ma382a5=:j0l1=h?4=ccb>4c234hj57?j1:?`<`<6m816o?>51d389fd228o870mlc;3f6>;ei=0:i=52bb`95`7<5kko6e19>fg`=9l901n9=:0g0?8dfm3;n863mcg82a7=:jk:1=h?4=ca2>4c23428m7?j3:pg<7=83=p1nh=:c789f112k?01ojk:3;b?8df=3;n?63mb582a7=:jk?1=h=4=cc5>4c63tyh5n4?:8y>fcd=:0k01n7k:0g1?8df=3;n=63mb582a1=:jk?1=h<4=c;g>4c634hj:7?j0:?aea<6m=16nlh51d08yve>i3:14v3mf`81=d=:k0h1=h<4=cc6>4c334hi87?j3:?af0<6m=16nl851d089ggc28o970lnf;3f7>{tk021<77?f34i257?j2:pg<1=83?p1noj:c789fcb2k?01oh7:3;b?8ddi3;n>63mcb82a4=z{j396=4>4z?`5de09>g60=9l;01ok8:3;b?8de;3;n=63mc582a7=:jkn1=h?4=c;`>4c634hjm7?j0:?ae<<6m916onm51d689gg428o:70m9e;3f1>;ejk0:i?52cg795`6<5khm6e29>ff7=9l801n?=:0g2?xud190;6<8t=b3:>g3<5j9>6fg5=9l:01om8:0g2?8dd=3;n=63mbe82a7=:j0i1=h>4=ccb>4c534hj57?j4:?`gf<6m916nl=51d789f0b28o;70lmb;3f5>;dn<0:i>52bcd95`2<5j=96dg9>ff7=9l901n?=:0g1?xud8?0;6?u2bgd9f0=:k9=1>5=4}ra30?6=;r7ihl4=ab9>fad=::?01oj=:3:0?xud8:0;6>u2be596de<5kn36?=:;<`g5?4?;2wxo=<50;1x9gb32;kh70lk5;001>;el9094>5rscd`>5<4s4ho?7l:;<`g1?4?;27h<:4>e39~wg`c2908w0lk6;`6?8dc0383?63l0682a4=z{j:;6=4<{<`g0??d34ho;7<<6:?a`0<>k2wxnkk50;1x9gb>2k?01ojm:3:0?8e7?3;n<6s|c1394?5|5knj6?=9;<`g3??d34ho477l;|q`4=<72;eno095o52c1;96=554z?6a`<6m9169hh51d28936728o:70;jb;0;1>{t>9=1<7:t=4gf>4c634?nj7?j1:?545<6m9169hl52968yv0703:1>v3:ee82a4=:=li1>5;4}r43=?6=:r7>ii4>e19>1`e=:1>0q~8?d;29=~;18<0948525g:95`2<5jo4>e59>1ce=9l>018hk:0g7?83am3;n863:fg82a1=z{?:h6=46{<431?4?<27>j54>e49>1c?=9l?018hn:0g6?83aj3;n963:fb82a0=:=on1=h;4=4df>4c234?mj7?j5:p25d=833p1;>?:3:6?83a83;n863:f082a1=:=o81=h:4=4d0>4c334?m87?j4:?6b0<6m=169k851d6890`028o?7p}90`83><}:>9:1>5:4=4d3>4c234?m=7?j5:?6b7<6m<169k=51d7890`328o>70;i5;3f1>;2n?0:i8525g595`347>51cy>70>=:0k01>;6:0g3?84dl3;n?63=cb82a6=::jh1=h=4=3ab>4c4348h;7?j3:?1g3<6m:16>n;51d1897e328o870;5k;0:i>522b395`5<5;i;6e29>6ad=9l901?jn:0g0?84c13;n?63=d982a6=::m=1=h=4=3f5>4c4348o87?j3:?1g<<6m:16>ok51d189ggd28o870{t;i278944>e09>6`6=9l;01?mk:0g7?84dk3;n863=cc82a1=::jk1=h:4=3a4>4c3348h:7?j4:?1g0<6m=16>n:51d6897e428o?70;5k80:i9522b295`2<5;hm6e59>6ag=9l>01?j6:0g7?84c03;n863=d682a1=::m<1=h:4=3f7>4c3348h57?j4:?1f`<6m=16nlm51d68yv52k3:1>v3<598a1>;4=k094>5rs2;f>5<5s4n>j7l:;<1:b?4?;2wx;<:50;338>4i383?6373c82a6=:0:;1=h=4=90;>4c4342:j7?j3:?;53<6m:164=j51d189=6328o8709j9;3f7>;0?90:i>5275195`5<5>9j654>e29>34`=9l901:?9:0g0?xu5>h0;6?u271`96=5<5>:j67p}m9783>7}:j0=1>5=4=c;;>4c73tyim<4?:3y>fd4=:1901oo<:0g0?xu5>80;6?u2b`296=5<5k3m67}::mo1>5=4=3fg>4c53ty>:i4?:3y>13e=j<169;j52918yv0cm3:1>v39de8a1>;1ll094>5rs7g3>5<5s4708j3;0;7>{t>l?1<7g3<5?o>6?6<;|q`6f<72;q6o?l5b49>g7e=:190q~mia;296~;dnh094>52cg59f0=z{k2i6=4={<`;f?4?;27i4l4m5:pf=b=838p1o6l:c789g>c2;287p}lf483>7}:ko91n852cg796=552z?`<`<50:16o5h51d78yve583:1>v3l1g8a1>;d:9094>5rsba`>5<5s4ihn7l:;5=4}raa6?6=:r7hn<4m5:?`f7<50:1vnlk:1818eej3h>70mmd;0;7>{tk1<1<7g3<5j2=6?6<;|q`g`<72;q6onj5b49>gfc=:190q~o66;296~;f1?094>52a8796de52z?`71<50:16o>=52`a8yve3i3:1>v3l4`81<6=:k=31>lm4}r64a?6=:r7?;h4=829>007=9l:0q~:8f;296~;3?o094>5244395`7jh7>52z?7ea<50:1685>51d28yv2fm3:1>v3;ad81<6=:<1:1=h?4}r66a?6=:r7?9h4=829>00b=9l:0q~::f;296~;3=o094>5244f95`73h7>52z?7v3;8d81<6=:<1i1=h?4}r650?6=:r7?:94=829>036=9l:0q~:95;296~;3><094>5247295`72?7>52z?7=6<50:1685h51d28yv2><3:1>v3;9581<6=:<1l1=h?4}r653?6=:r7?::4=829>030=9l:0q~:98;296~;3>1094>5247495`72:7>52z?7=3<50:1684;51d28yv2>?3:1>v3;9681<6=:<0?1=h?4}r65e?6=:r7?:l4=829>03?=9l:0q~:9b;296~;3>k094>5247;95`7257>52z?7=<<50:1684651d28yv2>i3:1>v3;9`81<6=:<021=h?4}r65`?6=:r7?:i4=829>03e=9l:0q~:9e;296~;3>l094>5247a95`72o7>52z?7=f<50:1684l51d28yv2>l3:1>v3;9e81<6=:<0h1=h?4}r644?6=:r7?;=4=829>03`=9l:0q~:81;296~;3?8094>5247d95`72j7>52z?7=c<50:1684k51d28yv2f83:1>v3;a181<6=:<0o1=h?4}r647?6=:r7?;>4=829>024=9l:0q~:84;296~;3?=094>5246095`7j>7>52z?7e7<50:168l?51d28yv2f;3:1>v3;a281<6=:023=9l:0q~:87;296~;3?>094>5246795`7j97>52z?7e0<50:168l:51d28yv2f>3:1>v3;a781<6=:1=h?4}r64=?6=:r7?;44=829>02>=9l:0q~:8a;296~;3?h094>5246:95`7j47>52z?7e=<50:168l951d28yv2f13:1>v3;a881<6=:02d=9l:0q~:8d;296~;3?m094>5246`95`7jn7>52z?7eg<50:168lo51d28yv2fk3:1>v3;ab81<6=:037=9l:0q~:93;296~;3>:094>5247395`72=7>52z?7=4<50:1684>51d28yv2>:3:1>v3;9381<6=:<0:1=h?4}r613?6=:r7?>:4=829>070=j<1v89?:181831n3h>70;80;0;7>{t==k1<77>434??57l:;|q60=<72;q69995b49>11>=:190q~m88;296~;d?>0i963mac81<6=z{:nh6=4={<1gf?d2349oo7<73:p7ag=838p1>jn:3:0?85c13h>7p};3g83>7}:<:l1>5=4=51f>g352z?``<7>43tyh4k4?:3y>g=b=j<16o5h52918yvec?3:1>v3ld78a1>;dl>094>5rsbg1>5<5s4in=7l:;5=4}r05f?6=:r7iol4=829>g=c=9l90q~<9c;296~;d0h094>52cb095`552z?;7f<50:164>l51d38yv41m3:1>v373381<6=:0:;1=h?4}r05b?6=:r73>44=829><7>=9l;0q~<80;296~;?:9094>5280d95`752z?;52<50:164<851d38yv40:3:1>v370d81<6=:09n1=h?4}r047?6=:r73<84=829><52=9l;0q~<84;296~;0mh094>527d;95`752z?434<50:16;:>51d38yv40>3:1>v384581<6=:?=91=h?4}r043?6=:r736g=9l;0q~<88;296~;0;;094>5272395`752z?46<<50:16;?651d38yv40i3:1>v382181<6=:?8l1=h?4}r04f?6=:r7<=:4=829>340=9l;0q~<8c;296~;dj<094>52cc695`352z?ae1<50:16nl=51d08yv40m3:1>v3mcb81<6=:jjh1=h<4}r04b?6=:r7hj;4=829>gc3=9l?0q~<70;296~;dko094>52cbg95`352z?5a4<50:16:h>51d28yv43m3:1>v39e381<6=:>l:1=h?4}r07b?6=:r7<554=829>3<1=9l:0q~<:0;296~;010094>5278595`7=7>52z?4<`<50:16;5j51d28yv42:3:1>v388g81<6=:?1n1=h?4}r067?6=:r7<494=829>3=5=9l:0q~<:4;296~;00<094>5279195`797>52z?43d<50:16;:751d28yv42>3:1>v387c81<6=:?>31=h?4}r063?6=:r7<::4=829>330=9l:0q~<:8;296~;0>1094>5277495`757>52z?41a<50:16;8m51d28yv42i3:1>v385d81<6=:?4=829>3d4=9l:0q~<:c;296~;0i=094>527`095`7h7>52z?4ec<50:16;lk51d28yv42m3:1>v38b181<6=:?ho1=h?4}r06b?6=:r73ac=9l:0q~<90;296~;0m9094>527eg95`77>52z?4`6<50:16;i<51d28yv41;3:1>v38d581<6=:?m81=h?4}r050?6=:r73f0=9l:0q~<95;296~;0k1094>527b495`752z?4fg<50:16;oo51d28yv41?3:1>v38bb81<6=:?kk1=h?4}|l1<5b=83;pDi8>;|l1<5c=83;pDi8>;|l1<5`=83;pDi8>;|l1<46=83;pDi8>;|l1<47=83;pDi8>;|l1<44=83;pDi8>;|l1<45=83;pDi8>;|l1<42=83;pDi8>;|l1<43=83;pDi8>;|l1<40=83;pDi8>;|l1<41=83;pDi8>;|l1<4>=83;pDi8>;|l1<4?=83;pDi8>;|l1<4g=83;pDi8>;|l1<4d=83;pDi8>;|l1<4e=83;pDi8>;|l1<4b=83;pDi8>;|l1<4c=83;pDi8>;|l1<4`=83;pDi8>;|l1<76=83;pDi8>;|l1<77=83;pDi8>;|l1<74=83;pDi8>;|l1<75=83;pDi8>;|l1<72=83;pDi8>;|l1<73=83;pDi8>;|l1<70=83;pDi8>;|l1<71=83;pDi8>;|l1<7>=83;pDi8>;|l1<7?=83;pDi8>;|l1<7g=83;pDi8>;|l1<7d=83;pDi8>;|l1<7e=83;pDi8>;|l1<7b=83;pDi8>;|l1<7c=83;pDi8>;|l1<7`=83;pDi8>;|l1<66=83;pDi8>;|l1<67=83;pDi8>;|l1<64=83;pDi8>;|l1<65=83;pDi8>;|l1<62=83;pDi8>;|l1<63=83;pDi8>;|l1<60=83;pDi8>;|l1<61=83;pDi8>;|l1<6>=83;pDi8>;|l1<6?=83;pDi8>;|l1<6g=83;pDi8>;|l1<6d=83;pDi8>;|l1<6e=83;pDi8>;|l1<6b=83;pDi8>;|l1<6c=83;pDi8>;|l1<6`=83;pDi8>;|l1<16=83;pDi8>;|l1<17=83;pDi8>;|l1<14=83;pDi8>;|l1<15=83;pDi8>;|l1<12=83;pDi8>;|l1<13=83;pDi8>;|l1<10=83;pDi8>;|l1<11=83;pDi8>;|l1<1>=83;pDi8>;|l1<1?=83;pDi8>;|l1<1g=83;pDi8>;|l1<1d=83;pDi8>;|l1<1e=83;pDi8>;|l1<1b=83;pDi8>;|l1<1c=83;pDi8>;|l1<1`=83;pDi8>;|l1<06=83;pDi8>;|l1<07=83;pDi8>;|l1<04=83;pDi8>;|l1<05=83;pDi8>;|l1<02=83;pDi8>;|l1<03=83;pDi8>;|l1<00=83;pDi8>;|l1<01=83;pDi8>;|l1<0>=83;pDi8>;|l1<0?=83;pDi8>;|l1<0g=83;pDi8>;|l1<0d=83;pDi8>;|l1<0e=83;pDi8>;|l1<0b=83;pDi8>;|l1<0c=83;pDi8>;|l1<0`=83;pDi8>;|l1<36=83;pDi8>;|l1<37=83;pDi8>;|l1<34=83;pDi8>;|l1<35=83;pDi8>;|l1<32=83;pDi8>;|l1<33=83;pDi8>;|l1<30=83;pDi8>;|l1<31=83;pDi8>;|l1<3>=83;pDi8>;|l1<3?=83;pDi8>;|l1<3g=83;pDi8>;|l1<3d=83;pDi8>;|l1<3e=83;pDi8>;|l1<3b=83;pDi8>;|l1<3c=83;pDi8>;|l1<3`=83;pDi8>;|l1<26=83;pDi8>;|l1<27=83;pDi8>;|l1<24=83;pDi8>;|l1<25=83;pDi8>;|l1<22=83;pDi8>;|l1<23=83;pDi8>;|l1<20=83;pDi8>;|l1<21=83;pDi8>;|l1<2>=83;pDi8>;|l1<2?=83;pDi8>;|l1<2g=83;pDi8>;|l1<2d=83;pDi8>;|l1<2e=83;pDi8>;|l1<2b=83;pDi8>;|l1<2c=83;pDi8>;|l1<2`=83;pDi8>;|l1<=6=83;pDi8>;|l1<=7=83;pDi8>;|l1<=4=83;pDi8>;|l1<=5=83;pDi8>;|l1<=2=83;pDi8>;|l1<=3=83;pDi8>;|l1<=0=83;pDi8>;|l1<=1=83;pDi8>;|l1<=>=83;pDi8>;|l1<=?=83;pDi8>;|l1<=g=83;pDi8>;|l1<=d=83;pDi8>;|l1<=e=83;pDi8>;|l1<=b=83;pDi8>;|l1<=c=83;pDi8>;|l1<=`=83;pDi8>;|l1<<6=83;pDi8>;|l1<<7=83;pDi8>;|l1<<4=83;pDi8>;|l1<<5=83;pDi8>;|l1<<2=83;pDi8>;|l1<<3=83;pDi8>;|l1<<0=83;pDi8>;|l1<<1=83;pDi8>;|l1<<>=83;pDi8>;|l1<;|l1<;|l1<;|l1<;|l1<;|l1<;|l1<<`=83;pDi8>;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1=83;pDi8>;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1=83;pDi8>;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1=83;pDi8>;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1=83;pDi8>;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1<`6=83;pDi8>;|l1<`7=83;pDi8>;|l1<`4=83;pDi8>;|l1<`5=83;pDi8>;|l1<`2=83;pDi8>;|l1<`3=83;pDi8>;|l1<`0=83;pDi8>;|l1<`1=83;pDi8>;|l1<`>=83;pDi8>;|l1<`?=83;pDi8>;|l1<`g=83;pDi8>;|l1<`d=83;pDi8>;|l1<`e=83;pDi8>;|l1<`b=83;pDi8>;|l1<`c=83;pDi8>;|l1<``=83;pDi8>;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1=83;pDi8>;|l1;|l1;|l1;|l1;|l1;|l1;|l1;|l1=56=83;pDi8>;|l1=57=83;pDi8>;|l1=54=83;pDi8>;|l1=55=83;pDi8>;|l1=52=83;pDi8>;|l1=53=83;pDi8>;|l1=50=83;pDi8>;|l1=51=83;pDi8>;|l1=5>=83;pDi8>;|l1=5?=83;pDi8>;|l1=5g=83;pDi8>;|l1=5d=83;pDi8>;|l1=5e=83;pDi8>;|l1=5b=83;pDi8>;|l1=5c=83;pDi8>;|l1=5`=83;pDi8>;|l1=46=83;pDi8>;|l1=47=83;pDi8>;|l1=44=83;pDi8>;|l1=45=83;pDi8>;|l1=42=83;pDi8>;|l1=43=83;pDi8>;|l1=40=83;pDi8>;|l1=41=83;pDi8>;|l1=4>=83;pDi8>;|l1=4?=83;pDi8>;|l1=4g=83;pDi8>;|l1=4d=83;pDi8>;|l1=4e=83;pDi8>;|l1=4b=83;pDi8>;|l1=4c=83;pDi8>;|l1=4`=83;pDi8>;|l1=76=83;pDi8>;|l1=77=83;pDi8>;|l1=74=83;pDi8>;|l1=75=83;pDi8>;|l1=72=83;pDi8>;|l1=73=83;pDi8>;|l1=70=83;pDi8>;|l1=71=83;pDi8>;|l1=7>=83;pDi8>;|l1=7?=83;pDi8>;|l1=7g=83;pDi8>;|l1=7d=83;pDi8>;|l1=7e=83;pDi8>;|l1=7b=83;pDi8>;|l1=7c=83;pDi8>;|l1=7`=83;pDi8>;|l1=66=83;pDi8>;|l1=67=83;pDi8>;|l1=64=83;pDi8>;|l1=65=83;pDi8>;|l1=62=83;pDi8>;|l1=63=83;pDi8>;|l1=60=83;pDi8>;|l1=61=83;pDi8>;|l1=6>=83;pDi8>;|l1=6?=83;pDi8>;|l1=6g=83;pDi8>;|l1=6d=83;pDi8>;|l1=6e=83;pDi8>;|l1=6b=83;pDi8>;|l1=6c=83;pDi8>;|l1=6`=83;pDi8>;|l1=16=83;pDi8>;|l1=17=83;pDi8>;|l1=14=83;pDi8>;|l1=15=83;pDi8>;|l1=12=83;pDi8>;|l1=13=83;pDi8>;|l1=10=83;pDi8>;|l1=11=83;pDi8>;|l1=1>=83;pDi8>;|l1=1?=83;pDi8>;|l1=1g=83;pDi8>;|l1=1d=83;pDi8>;|l1=1e=83;pDi8>;|l1=1b=83;pDi8>;|l1=1c=83;pDi8>;|l1=1`=83;pDi8>;|l1=06=83;pDi8>;|l1=07=83;pDi8>;|l1=04=83;pDi8>;|l1=05=83;pDi8>;|l1=02=83;pDi8>;|l1=03=83;pDi8>;|l1=00=83;pDi8>;|l1=01=83;pDi8>;|l1=0>=83;pDi8>;|l1=0?=83;pDi8>;|l1=0g=83;pDi8>;|l1=0d=83;pDi8>;|l1=0e=83;pDi8>;|l1=0b=83;pDi8>;|l1=0c=83;pDi8>;|l1=0`=83;pDi8>;|l1=36=83;pDi8>;|l1=37=83;pDi8>;|l1=34=83;pDi8>;|l1=35=83;pDi8>;|l1=32=83;pDi8>;|l1=33=83;pDi8>;|l1=30=83;pDi8>;|l1=31=83;pDi8>;|l1=3>=83;pDi8>;|l1=3?=83;pDi8>;|l1=3g=83;pDi8>;|l1=3d=83;pDi8>;|l1=3e=83;pDi8>;|l1=3b=83;pDi8>;|l1=3c=83;pDi8>;|l1=3`=83;pDi8>;|l1=26=83;pDi8>;|l1=27=83;pDi8>;|l1=24=83;pDi8>;|l1=25=83;pDi8>;|l1=22=83;pDi8>;|l1=23=83;pDi8>;|l1=20=83;pDi8>;|l1=21=83;pDi8>;|l1=2>=83;pDi8>;|l1=2?=83;pDi8>;|l1=2g=83;pDi8>;|l1=2d=83;pDi8>;|l1=2e=83;pDi8>;|l1=2b=83;pDi8>;|l1=2c=83;pDi8>;|l1=2`=83;pDi8>;|l1==6=83;pDi8>;|l1==7=83;pDi8>;|l1==4=83;pDi8>;|l1==5=83;pDi8>;|l1==2=83;pDi8>;|l1==3=83;pDi8>;|l1==0=83;pDi8>;|l1==1=83;pDi8>;|l1==>=83;pDi8>;|l1==?=83;pDi8>;|l1==g=83;pDi8>;|l1==d=83;pDi8>;|l1==e=83;pDi8>;|l1==b=83;pDi8>;|l1==c=83;pDi8>;|l1==`=83;pDi8>;|l1=<6=83;pDi8>;|l1=<7=83;pDi8>;|l1=<4=83;pDi8>;|l1=<5=83;pDi8>;|l1=<2=83;pDi8>;|l1=<3=83;pDi8>;|l1=<0=83;pDi8>;|l1=<1=83;pDi8>;|l1=<>=83;pDi8>;|l1=;|l1=;|l1=;|l1=;|l1=;|l1=;|l1=<`=83;pDi8>;|l1=d6=83;pDi8>;|l1=d7=83;pDi8>;|l1=d4=83;pDi8>;|l1=d5=83;pDi8>;|l1=d2=83;pDi8>;|l1=d3=83;pDi8>;|l1=d0=83;pDi8>;|l1=d1=83;pDi8>;|l1=d>=83;pDi8>;|l1=d?=83;pDi8>;|l1=dg=83;pDi8>;|l1=dd=83;pDi8>;|l1=de=83;pDi8>;|l1=db=83;pDi8>;|l1=dc=83;pDi8>;|l1=d`=83;pDi8>;|l1=g6=83;pDi8>;|l1=g7=83;pDi8>;|l1=g4=83;pDi8>;|l1=g5=83;pDi8>;|l1=g2=83;pDi8>;|l1=g3=83;pDi8>;|l1=g0=83;pDi8>;|l1=g1=83;pDi8>;|l1=g>=83;pDi8>;|l1=g?=83;pDi8>;|l1=gg=83;pDi8>;|l1=gd=83;pDi8>;|l1=ge=83;pDi8>;|l1=gb=83;pDi8>;|l1=gc=83;pDi8>;|l1=g`=83;pDi8>;|l1=f6=83;pDi8>;|l1=f7=83;pDi8>;|l1=f4=83;pDi8>;|l1=f5=83;pDi8>;|l1=f2=83;pDi8>;|l1=f3=83;pDi8>;|l1=f0=83;pDi8>;|l1=f1=83;pDi8>;|l1=f>=83;pDi8>;|l1=f?=83;pDi8>;|l1=fg=83;pDi8>;|l1=fd=83;pDi8>;|l1=fe=83;pDi8>;|l1=fb=83;pDi8>;|l1=fc=83;pDi8>;|l1=f`=83;pDi8>;|l1=a6=83;pDi8>;|l1=a7=83;pDi8>;|l1=a4=83;pDi8>;|l1=a5=83;pDi8>;|l1=a2=83;pDi8>;|l1=a3=83;pDi8>;|l1=a0=83;pDi8>;|l1=a1=83;pDi8>;|l1=a>=83;pDi8>;|l1=a?=83;pDi8>;|l1=ag=83;pDi8>;|l1=ad=83;pDi8>;|l1=ae=83;pDi8>;|l1=ab=83;pDi8>;|l1=ac=83;pDi8>;|l1=a`=83;pDi8>;|l1=`6=83;pDi8>;|l1=`7=83;pDi8>;|l1=`4=83;pDi8>;|l1=`5=83;pDi8>;|l1=`2=83;pDi8>;|l1=`3=83;pDi8>;|l1=`0=83;pDi8>;|l1=`1=83;pDi8>;|l1=`>=83;pDi8>;|l1=`?=83;pDi8>;|l1=`g=83;pDi8>;|l1=`d=83;pDi8>;|l1=`e=83;pDi8>;|l1=`b=83;pDi8>;|l1=`c=83;pDi8>;|l1=``=83;pDi8>;|l1=c6=83;pDi8?;If55>{i:0l:6=4>{If55>{i:0l96=4>{If55>{i:0l86=4>{If55>{i:0l?6=4>{If55>{i:0l>6=4>{If55>{i:0l=6=4>{If55>{i:0l<6=4>{If55>{i:0l36=4>{If55>{i:0l26=4>{If55>{i:0lj6=4>{If55>{i:0li6=4>{If55>{i:0lh6=4>{If55>{i:0lo6=4>{If55>{i:0ln6=4>{If55>{i:0lm6=4>{If55>{i:h:;6=4>{If55>{i:h::6=4>{If55>{i:h:96=4>{If55>{i:h:86=4>{If55>{i:h:?6=4>{If55>{i:h:>6=4>{If55>{i:h:=6=4>{If55>{i:h:<6=4>{If55>{i:h:36=4>{If55>{i:h:26=4>{If55>{i:h:j6=4>{If55>{i:h:i6=4>{If55>{i:h:h6=4>{If55>{i:h:o6=4>{If55>{i:h:n6=4>{If55>{i:h:m6=4>{If55>{i:h;;6=4>{If55>{i:h;:6=4>{If55>{i:h;96=4>{If55>{i:h;86=4>{If55>{i:h;?6=4>{If55>{i:h;>6=4>{If55>{i:h;=6=4>{If55>{i:h;<6=4>{If55>{i:h;36=4>{If55>{i:h;26=4>{If55>{i:h;j6=4>{If55>{i:h;i6=4>{If55>{i:h;h6=4>{If55>{i:h;o6=4>{If55>{i:h;n6=4>{If55>{i:h;m6=4>{If55>{i:h8;6=4>{If55>{i:h8:6=4>{If55>{i:h896=4>{If55>{i:h886=4>{If55>{i:h8?6=4>{If55>{i:h8>6=4>{If55>{i:h8=6=4>{If55>{i:h8<6=4>{If55>{i:h836=4>{If55>{i:h826=4>{If55>{i:h8j6=4>{If55>{i:h8i6=4>{If55>{i:h8h6=4>{If55>{i:h8o6=4>{If55>{i:h8n6=4>{If55>{i:h8m6=4>{If55>{i:h9;6=4>{If55>{i:h9:6=4>{If55>{i:h996=4>{If55>{i:h986=4>{If55>{i:h9?6=4>{If55>{i:h9>6=4>{If55>{i:h9=6=4>{If55>{i:h9<6=4>{If55>{i:h936=4>{If55>{i:h926=4>{If55>{i:h9j6=4>{If55>{i:h9i6=4>{If55>{i:h9h6=4>{If55>{i:h9o6=4>{If55>{i:h9n6=4>{If55>{i:h9m6=4>{If55>{i:h>;6=4>{If55>{i:h>:6=4>{If55>{i:h>96=4>{If55>{i:h>86=4>{If55>{i:h>?6=4>{If55>{i:h>>6=4>{If55>{i:h>=6=4>{If55>{i:h><6=4>{If55>{i:h>36=4>{If55>{i:h>26=4>{If55>{i:h>j6=4>{If55>{i:h>i6=4>{If55>{i:h>h6=4>{If55>{i:h>o6=4>{If55>{i:h>n6=4>{If55>{i:h>m6=4>{If55>{i:h?;6=4>{If55>{i:h?:6=4>{If55>{i:h?96=4>{If55>{i:h?86=4>{If55>{i:h??6=4>{If55>{i:h?>6=4>{If55>{i:h?=6=4>{If55>{i:h?<6=4>{If55>{i:h?36=4>{If55>{i:h?26=4>{If55>{i:h?j6=4>{If55>{i:h?i6=4>{If55>{i:h?h6=4>{If55>{i:h?o6=4>{If55>{i:h?n6=4>{If55>{i:h?m6=4>{If55>{i:h<;6=4>{If55>{i:h<:6=4>{If55>{i:h<96=4>{If55>{i:h<86=4>{If55>{i:h{If55>{i:h<>6=4>{If55>{i:h<=6=4>{If55>{i:h<<6=4>{If55>{i:h<36=4>{If55>{i:h<26=4>{If55>{i:h{If55>{i:h{If55>{i:h{If55>{i:h{If55>{i:h{If55>{i:h{If55>{i:h=;6=4>{If55>{i:h=:6=4>{If55>{i:h=96=4>{If55>{i:h=86=4>{If55>{i:h=?6=4>{If55>{i:h=>6=4>{If55>{i:h==6=4>{If55>{i:h=<6=4>{If55>{i:h=36=4>{If55>{i:h=26=4>{If55>{i:h=j6=4>{If55>{i:h=i6=4>{If55>{i:h=h6=4>{If55>{i:h=o6=4>{If55>{i:h=n6=4>{If55>{i:h=m6=4>{If55>{i:h2;6=4>{If55>{i:h2:6=4>{If55>{i:h296=4>{If55>{i:h286=4>{If55>{i:h2?6=4>{If55>{i:h2>6=4>{If55>{i:h2=6=4>{If55>{i:h2<6=4>{If55>{i:h236=4>{If55>{i:h226=4>{If55>{i:h2j6=4>{If55>{i:h2i6=4>{If55>{i:h2h6=4>{If55>{i:h2o6=4>{If55>{i:h2n6=4>{If55>{i:h2m6=4>{If55>{i:h3;6=4>{If55>{i:h3:6=4>{If55>{i:h396=4>{If55>{i:h386=4>{If55>{i:h3?6=4>{If55>{i:h3>6=4>{If55>{i:h3=6=4>{If55>{i:h3<6=4>{If55>{i:h336=4>{If55>{i:h326=4>{If55>{i:h3j6=4>{If55>{i:h3i6=4>{If55>{i:h3h6=4>{If55>{i:h3o6=4>{If55>{i:h3n6=4>{If55>{i:h3m6=4>{If55>{i:hk;6=4>{If55>{i:hk:6=4>{If55>{i:hk96=4>{If55>{i:hk86=4>{If55>{i:hk?6=4>{If55>{i:hk>6=4>{If55>{i:hk=6=4>{If55>{i:hk<6=4>{If55>{i:hk36=4>{If55>{i:hk26=4>{If55>{i:hkj6=4>{If55>{i:hki6=4>{If55>{i:hkh6=4>{If55>{i:hko6=4>{If55>{i:hkn6=4>{If55>{i:hkm6=4>{If55>{i:hh;6=4>{If55>{i:hh:6=4>{If55>{i:hh96=4>{If55>{i:hh86=4>{If55>{i:hh?6=4>{If55>{i:hh>6=4>{If55>{i:hh=6=4>{If55>{i:hh<6=4>{If55>{i:hh36=4>{If55>{i:hh26=4>{If55>{i:hhj6=4>{If55>{i:hhi6=4>{If55>{i:hhh6=4>{If55>{i:hho6=4>{If55>{i:hhn6=4>{If55>{i:hhm6=4>{If55>{i:hi;6=4>{If55>{i:hi:6=4>{If55>{i:hi96=4>{If55>{i:hi86=4>{If55>{i:hi?6=4>{If55>{i:hi>6=4>{If55>{i:hi=6=4>{If55>{i:hi<6=4>{If55>{i:hi36=4>{If55>{i:hi26=4>{If55>{i:hij6=4>{If55>{i:hii6=4>{If55>{i:hih6=4>{If55>{i:hio6=4>{If55>{i:hin6=4>{If55>{i:him6=4>{If55>{i:hn;6=4>{If55>{i:hn:6=4>{If55>{i:hn96=4>{If55>{i:hn86=4>{If55>{i:hn?6=4>{If55>{i:hn>6=4>{If55>{i:hn=6=4>{If55>{i:hn<6=4>{If55>{i:hn36=4>{If55>{i:hn26=4>{If55>{i:hnj6=4>{If55>{i:hni6=4>{If55>{i:hnh6=4>{If55>{i:hno6=4>{If55>{i:hnn6=4>{If55>{i:hnm6=4>{If55>{i:ho;6=4>{If55>{i:ho:6=4>{If55>{i:ho96=4>{If55>{i:ho86=4>{If55>{i:ho?6=4>{If55>{i:ho>6=4>{If55>{i:ho=6=4>{If55>{i:ho<6=4>{If55>{i:ho36=4>{If55>{i:ho26=4>{If55>{i:hoj6=4>{If55>{i:hoi6=4>{If55>{i:hoh6=4>{If55>{i:hoo6=4>{If55>{i:hon6=4>{If55>{i:hom6=4>{If55>{i:hl;6=4>{If55>{i:hl:6=4>{If55>{i:hl96=4>{If55>{i:hl86=4>{If55>{i:hl?6=4>{If55>{i:hl>6=4>{If55>{i:hl=6=4>{If55>{i:hl<6=4>{If55>{i:hl36=4>{If55>{i:hl26=4>{If55>{i:hlj6=4>{If55>{i:hli6=4>{If55>{i:hlh6=4>{If55>{i:hlo6=4>{If55>{i:hln6=4>{If55>{i:hlm6=4>{If55>{i:k:;6=4>{If55>{i:k::6=4>{If55>{i:k:96=4>{If55>{i:k:86=4>{If55>{i:k:?6=4>{If55>{i:k:>6=4>{If55>{i:k:=6=4>{If55>{i:k:<6=4>{If55>{i:k:36=4>{If55>{i:k:26=4>{If55>{i:k:j6=4>{If55>{i:k:i6=4>{If55>{i:k:h6=4>{If55>{i:k:o6=4>{If55>{i:k:n6=4>{If55>{i:k:m6=4>{If55>{i:k;;6=4>{If55>{i:k;:6=4>{If55>{i:k;96=4>{If55>{i:k;86=4>{If55>{i:k;?6=4>{If55>{i:k;>6=4>{If55>{i:k;=6=4>{If55>{i:k;<6=4>{If55>{i:k;36=4>{If55>{i:k;26=4>{If55>{i:k;j6=4>{If55>{i:k;i6=4>{If55>{i:k;h6=4>{If55>{i:k;o6=4>{If55>{i:k;n6=4>{If55>{i:k;m6=4>{If55>{i:k8;6=4>{If55>{i:k8:6=4>{If55>{i:k896=4>{If55>{i:k886=4>{If55>{i:k8?6=4>{If55>{i:k8>6=4>{If55>{i:k8=6=4>{If55>{i:k8<6=4>{If55>{i:k836=4>{If55>{i:k826=4>{If55>{i:k8j6=4>{If55>{i:k8i6=4>{If55>{i:k8h6=4>{If55>{i:k8o6=4>{If55>{i:k8n6=4>{If55>{i:k8m6=4>{If55>{i:k9;6=4>{If55>{i:k9:6=4>{If55>{i:k996=4>{If55>{i:k986=4>{If55>{i:k9?6=4>{If55>{i:k9>6=4>{If55>{i:k9=6=4>{If55>{i:k9<6=4>{If55>{i:k936=4>{If55>{i:k926=4>{If55>{i:k9j6=4>{If55>{i:k9i6=4>{If55>{i:k9h6=4>{If55>{i:k9o6=4>{If55>{i:k9n6=4>{If55>{i:k9m6=4>{If55>{i:k>;6=4>{If55>{i:k>:6=4>{If55>{i:k>96=4>{If55>{i:k>86=4>{If55>{i:k>?6=4>{If55>{i:k>>6=4>{If55>{i:k>=6=4>{If55>{i:k><6=4>{If55>{i:k>36=4>{If55>{i:k>26=4>{If55>{i:k>j6=4>{If55>{i:k>i6=4>{If55>{i:k>h6=4>{If55>{i:k>o6=4>{If55>{i:k>n6=4>{If55>{i:k>m6=4>{If55>{i:k?;6=4>{If55>{i:k?:6=4>{If55>{i:k?96=4>{If55>{i:k?86=4>{If55>{i:k??6=4>{If55>{i:k?>6=4>{If55>{i:k?=6=4>{If55>{i:k?<6=4>{If55>{i:k?36=4>{If55>{i:k?26=4>{If55>{i:k?j6=4>{If55>{i:k?i6=4>{If55>{i:k?h6=4>{If55>{i:k?o6=4>{If55>{i:k?n6=4>{If55>{i:k?m6=4>{If55>{i:k<;6=4>{If55>{i:k<:6=4>{If55>{i:k<96=4>{If55>{i:k<86=4>{If55>{i:k{If55>{i:k<>6=4>{If55>{i:k<=6=4>{If55>{i:k<<6=4>{If55>{i:k<36=4>{If55>{i:k<26=4>{If55>{i:k{If55>{i:k{If55>{i:k{If55>{i:k{If55>{i:k{If55>{i:k{If55>{i:k=;6=4>{If55>{i:k=:6=4>{If55>{i:k=96=4>{If55>{i:k=86=4>{If55>{i:k=?6=4>{If55>{i:k=>6=4>{If55>{i:k==6=4>{If55>{i:k=<6=4>{If55>{i:k=36=4>{If55>{i:k=26=4>{If55>{i:k=j6=4>{If55>{i:k=i6=4>{If55>{i:k=h6=4>{If55>{i:k=o6=4>{If55>{i:k=n6=4>{If55>{i:k=m6=4>{If55>{i:k2;6=4>{If55>{i:k2:6=4>{If55>{i:k296=4>{If55>{i:k286=4>{If55>{i:k2?6=4>{If55>{i:k2>6=4>{If55>{i:k2=6=4>{If55>{i:k2<6=4>{If55>{i:k236=4>{If55>{i:k226=4>{If55>{i:k2j6=4>{If55>{i:k2i6=4>{If55>{i:k2h6=4>{If55>{i:k2o6=4>{If55>{i:k2n6=4>{If55>{i:k2m6=4>{If55>{i:k3;6=4>{If55>{i:k3:6=4>{If55>{i:k396=4>{If55>{i:k386=4>{If55>{i:k3?6=4>{If55>{i:k3>6=4>{If55>{i:k3=6=4>{If55>{i:k3<6=4>{If55>{i:k336=4>{If55>{i:k326=4>{If55>{i:k3j6=4>{If55>{i:k3i6=4>{If55>{i:k3h6=4>{If55>{i:k3o6=4>{If55>{i:k3n6=4>{If55>{i:k3m6=4>{If55>{i:kk;6=4>{If55>{i:kk:6=4>{If55>{i:kk96=4>{If55>{i:kk86=4>{If55>{i:kk?6=4>{If55>{i:kk>6=4>{If55>{i:kk=6=4>{If55>{i:kk<6=4>{If55>{i:kk36=4>{If55>{i:kk26=4>{If55>{i:kkj6=4>{If55>{i:kki6=4>{If55>{i:kkh6=4>{If55>{i:kko6=4>{If55>{i:kkn6=4>{If55>{i:kkm6=4>{If55>{i:kh;6=4>{If55>{i:kh:6=4>{If55>{i:kh96=4>{If55>{i:kh86=4>{If55>{i:kh?6=4>{If55>{i:kh>6=4>{If55>{i:kh=6=4>{If55>{i:kh<6=4>{If55>{i:kh36=4>{If55>{i:kh26=4>{If55>{i:khj6=4>{If55>{i:khi6=4>{If55>{i:khh6=4>{If55>{i:kho6=4>{If55>{i:khn6=4>{If55>{i:khm6=4>{If55>{i:ki;6=4>{If55>{i:ki:6=4>{If55>{i:ki96=4>{If55>{i:ki86=4>{If55>{i:ki?6=4>{If55>{i:ki>6=4>{If55>{i:ki=6=4>{If55>{i:ki<6=4>{If55>{i:ki36=4>{If55>{i:ki26=4>{If55>{i:kij6=4>{If55>{i:kii6=4>{If55>{i:kih6=4>{If55>{i:kio6=4>{If55>{i:kin6=4>{If55>{i:kim6=4>{If55>{i:kn;6=4>{If55>{i:kn:6=4>{If55>{i:kn96=4>{If55>{i:kn86=4>{If55>{i:kn?6=4>{If55>{i:kn>6=4>{If55>{i:kn=6=4>{If55>{i:kn<6=4>{If55>{i:kn36=4>{If55>{i:kn26=4>{If55>{i:knj6=4>{If55>{i:kni6=4>{If55>{i:knh6=4>{If55>{i:kno6=4>{If55>{i:knn6=4>{If55>{i:knm6=4>{If55>{i:ko;6=4>{If55>{i:ko:6=4>{If55>{i:ko96=4>{If55>{i:ko86=4>{If55>{i:ko?6=4>{If55>{i:ko>6=4>{If55>{i:ko=6=4>{If55>{i:ko<6=4>{If55>{i:ko36=4>{If55>{i:ko26=4>{If55>{i:koj6=4>{If55>{i:koi6=4>{If55>{i:koh6=4>{If55>{i:koo6=4>{If55>{i:kon6=4>{If55>{i:kom6=4>{If55>{i:kl;6=4>{If55>{i:kl:6=4>{If55>{i:kl96=4>{If55>{i:kl86=4>{If55>{i:kl?6=4>{If55>{i:kl>6=4>{If55>{i:kl=6=4>{If55>{i:kl<6=4>{If55>{i:kl36=4>{If55>{i:kl26=4>{If55>{i:klj6=4>{If55>{i:kli6=4>{If55>{i:klh6=4>{If55>{i:klo6=4>{If55>{i:kln6=4>{If55>{i:klm6=4>{If55>{i:j:;6=4>{If55>{i:j::6=4>{If55>{i:j:96=4>{If55>{i:j:86=4>{If55>{i:j:?6=4>{If55>{i:j:>6=4>{If55>{i:j:=6=4>{If55>{i:j:<6=4>{If55>{i:j:36=4>{If55>{i:j:26=4>{If55>{i:j:j6=4>{If55>{i:j:i6=4>{If55>{i:j:h6=4>{If55>{i:j:o6=4>{If55>{i:j:n6=4>{If55>{i:j:m6=4>{If55>{i:j;;6=4>{If55>{i:j;:6=4>{If55>{i:j;96=4>{If55>{i:j;86=4>{If55>{i:j;?6=4>{If55>{i:j;>6=4>{If55>{i:j;=6=4>{If55>{i:j;<6=4>{If55>{i:j;36=4>{If55>{i:j;26=4>{If55>{i:j;j6=4>{If55>{i:j;i6=4>{If55>{i:j;h6=4>{If55>{i:j;o6=4>{If55>{i:j;n6=4>{If55>{i:j;m6=4>{If55>{i:j8;6=4>{If55>{i:j8:6=4>{If55>{i:j896=4>{If55>{i:j886=4>{If55>{i:j8?6=4>{If55>{i:j8>6=4>{If55>{i:j8=6=4>{If55>{i:j8<6=4>{If55>{i:j836=4>{If55>{i:j826=4>{If55>{i:j8j6=4>{If55>{i:j8i6=4>{If55>{i:j8h6=4>{If55>{i:j8o6=4>{If55>{i:j8n6=4>{If55>{i:j8m6=4>{If55>{i:j9;6=4>{If55>{i:j9:6=4>{If55>{i:j996=4>{If55>{i:j986=4>{If55>{i:j9?6=4>{If55>{i:j9>6=4>{If55>{i:j9=6=4>{If55>{i:j9<6=4>{If55>{i:j936=4>{If55>{i:j926=4>{If55>{i:j9j6=4>{If55>{i:j9i6=4>{If55>{i:j9h6=4>{If55>{i:j9o6=4>{If55>{i:j9n6=4>{If55>{i:j9m6=4>{If55>{i:j>;6=4>{If55>{i:j>:6=4>{If55>{i:j>96=4>{If55>{i:j>86=4>{If55>{i:j>?6=4>{If55>{i:j>>6=4>{If55>{i:j>=6=4>{If55>{i:j><6=4>{If55>{i:j>36=4>{If55>{i:j>26=4>{If55>{i:j>j6=4>{If55>{i:j>i6=4>{If55>{i:j>h6=4>{If55>{i:j>o6=4>{If55>{i:j>n6=4>{If55>{i:j>m6=4>{If55>{i:j?;6=4>{If55>{i:j?:6=4>{If55>{i:j?96=4>{If55>{i:j?86=4>{If55>{i:j??6=4>{If55>{i:j?>6=4>{If55>{i:j?=6=4>{If55>{i:j?<6=4>{If55>{i:j?36=4>{If55>{i:j?26=4>{If55>{i:j?j6=4>{If55>{i:j?i6=4>{If55>{i:j?h6=4>{If55>{i:j?o6=4>{If55>{i:j?n6=4>{If55>{i:j?m6=4>{If55>{i:j<;6=4>{If55>{i:j<:6=4>{If55>{i:j<96=4>{If55>{i:j<86=4>{If55>{i:j{If55>{i:j<>6=4>{If55>{i:j<=6=4>{If55>{i:j<<6=4>{If55>{i:j<36=4>{If55>{i:j<26=4>{If55>{i:j{If55>{i:j{If55>{i:j{If55>{i:j{If55>{i:j{If55>{i:j{If55>{i:j=;6=4>{If55>{i:j=:6=4>{If55>{i:j=96=4>{If55>{i:j=86=4>{If55>{i:j=?6=4>{If55>{i:j=>6=4>{If55>{i:j==6=4>{If55>{i:j=<6=4>{If55>{i:j=36=4>{If55>{i:j=26=4>{If55>{i:j=j6=4>{If55>{i:j=i6=4>{If55>{i:j=h6=4>{If55>{i:j=o6=4>{If55>{i:j=n6=4>{If55>{i:j=m6=4>{If55>{i:j2;6=4>{If55>{i:j2:6=4>{If55>{i:j296=4>{If55>{i:j286=4>{If55>{i:j2?6=4>{If55>{i:j2>6=4>{If55>{i:j2=6=4>{If55>{i:j2<6=4>{If55>{i:j236=4>{If55>{i:j226=4>{If55>{i:j2j6=4>{If55>{i:j2i6=4>{If55>{i:j2h6=4>{If55>{i:j2o6=4>{If55>{i:j2n6=4>{If55>{i:j2m6=4>{If55>{i:j3;6=4>{If55>{i:j3:6=4>{If55>{i:j396=4>{If55>{i:j386=4>{If55>{i:j3?6=4>{If55>{i:j3>6=4>{If55>{i:j3=6=4>{If55>{i:j3<6=4>{If55>{i:j336=4>{If55>{i:j326=4>{If55>{i:j3j6=4>{If55>{i:j3i6=4>{If55>{i:j3h6=4>{If55>{i:j3o6=4>{If55>{i:j3n6=4>{If55>{i:j3m6=4>{If55>{i:jk;6=4>{If55>{i:jk:6=4>{If55>{i:jk96=4>{If55>{i:jk86=4>{If55>{i:jk?6=4>{If55>{i:jk>6=4>{If55>{i:jk=6=4>{If55>{i:jk<6=4>{If55>{i:jk36=4>{If55>{i:jk26=4>{If55>{i:jkj6=4>{If55>{i:jki6=4>{If55>{i:jkh6=4>{If55>{i:jko6=4>{If55>{i:jkn6=4>{If55>{i:jkm6=4>{If55>{i:jh;6=4>{If55>{i:jh:6=4>{If55>{i:jh96=4>{If55>{i:jh86=4>{If55>{i:jh?6=4>{If55>{i:jh>6=4>{If55>{i:jh=6=4>{If55>{i:jh<6=4>{If55>{i:jh36=4>{If55>{i:jh26=4>{If55>{i:jhj6=4>{If55>{i:jhi6=4>{If55>{i:jhh6=4>{If55>{i:jho6=4>{If55>{i:jhn6=4>{If55>{i:jhm6=4>{If55>{i:ji;6=4>{If55>{i:ji:6=4>{If55>{i:ji96=4>{If55>{i:ji86=4>{If55>{i:ji?6=4>{If55>{i:ji>6=4>{If55>{i:ji=6=4>{If55>{i:ji<6=4>{If55>{i:ji36=4>{If55>{i:ji26=4>{If55>{i:jij6=4>{If55>{i:jii6=4>{If55>{i:jih6=4>{If55>{i:jio6=4>{If55>{i:jin6=4>{If55>{i:jim6=4>{If55>{i:jn;6=4>{If55>{i:jn:6=4>{If55>{i:jn96=4>{If55>{i:jn86=4>{If55>{i:jn?6=4>{If55>{i:jn>6=4>{If55>{i:jn=6=4>{If55>{i:jn<6=4>{If55>{i:jn36=4>{If55>{i:jn26=4>{If55>{i:jnj6=4>{If55>{i:jni6=4>{If55>{i:jnh6=4>{If55>{i:jno6=4>{If55>{i:jnn6=4>{If55>{i:jnm6=4>{If55>{i:jo;6=4>{If55>{i:jo:6=4>{If55>{i:jo96=4>{If55>{i:jo86=4>{If55>{i:jo?6=4>{If55>{i:jo>6=4>{If55>{i:jo=6=4>{If55>{i:jo<6=4>{If55>{i:jo36=4>{If55>{i:jo26=4>{If55>{i:joj6=4>{If55>{i:joi6=4>{If55>{i:joh6=4>{If55>{i:joo6=4>{If55>{i:jon6=4>{If55>{i:jom6=4>{If55>{i:jl;6=4>{If55>{i:jl:6=4>{If55>{i:jl96=4>{If55>{i:jl86=4>{If55>{i:jl?6=4>{If55>{i:jl>6=4>{If55>{i:jl=6=4>{If55>{i:jl<6=4>{If55>{i:jl36=4>{If55>{i:jl26=4>{If55>{i:jlj6=4>{If55>{i:jli6=4>{If55>{i:jlh6=4>{If55>{i:jlo6=4>{If55>{i:jln6=4>{If55>{i:jlm6=4>{If55>{i:m:;6=4>{If55>{i:m::6=4>{If55>{i:m:96=4>{If55>{i:m:86=4>{If55>{i:m:?6=4>{If55>{i:m:>6=4>{If55>{i:m:=6=4>{If55>{i:m:<6=4>{If55>{i:m:36=4>{If55>{i:m:26=4>{If55>{i:m:j6=4>{If55>{i:m:i6=4>{If55>{i:m:h6=4>{If55>{i:m:o6=4>{If55>{i:m:n6=4>{If55>{i:m:m6=4>{If55>{i:m;;6=4>{If55>{i:m;:6=4>{If55>{i:m;96=4>{If55>{i:m;86=4>{If55>{i:m;?6=4>{If55>{i:m;>6=4>{If55>{i:m;=6=4>{If55>{i:m;<6=4>{If55>{i:m;36=4>{If55>{i:m;26=4>{If55>{i:m;j6=4>{If55>{i:m;i6=4>{If55>{i:m;h6=4>{If55>{i:m;o6=4>{If55>{i:m;n6=4>{If55>{i:m;m6=4>{If55>{i:m8;6=4>{If55>{i:m8:6=4>{If55>{i:m896=4>{If55>{i:m886=4>{If55>{i:m8?6=4>{If55>{i:m8>6=4>{If55>{i:m8=6=4>{If55>{i:m8<6=4>{If55>{i:m836=4>{If55>{i:m826=4>{If55>{i:m8j6=4>{If55>{i:m8i6=4>{If55>{i:m8h6=4>{If55>{i:m8o6=4>{If55>{i:m8n6=4>{If55>{i:m8m6=4>{If55>{i:m9;6=4>{If55>{i:m9:6=4>{If55>{i:m996=4>{If55>{i:m986=4>{If55>{i:m9?6=4>{If55>{i:m9>6=4>{If55>{i:m9=6=4>{If55>{i:m9<6=4>{If55>{i:m936=4>{If55>{i:m926=4>{If55>{i:m9j6=4>{If55>{i:m9i6=4>{If55>{i:m9h6=4>{If55>{i:m9o6=4>{If55>{i:m9n6=4>{If55>{i:m9m6=4>{If55>{i:m>;6=4>{If55>{i:m>:6=4>{If55>{i:m>96=4>{If55>{i:m>86=4>{If55>{i:m>?6=4>{If55>{i:m>>6=4>{If55>{i:m>=6=4>{If55>{i:m><6=4>{If55>{i:m>36=4>{If55>{i:m>26=4>{If55>{i:m>j6=4>{If55>{i:m>i6=4>{If55>{i:m>h6=4>{If55>{i:m>o6=4>{If55>{i:m>n6=4>{If55>{i:m>m6=4>{If55>{i:m?;6=4>{If55>{i:m?:6=4>{If55>{i:m?96=4>{If55>{i:m?86=4>{If55>{i:m??6=4>{If55>{i:m?>6=4>{If55>{i:m?=6=4>{If55>{i:m?<6=4>{If55>{i:m?36=4>{If55>{i:m?26=4>{If55>{i:m?j6=4>{If55>{i:m?i6=4>{If55>{i:m?h6=4>{If55>{i:m?o6=4>{If55>{i:m?n6=4>{If55>{i:m?m6=4>{If55>{i:m<;6=4>{If55>{i:m<:6=4>{If55>{i:m<96=4>{If55>{i:m<86=4>{If55>{i:m{If55>{i:m<>6=4>{If55>{i:m<=6=4>{If55>{i:m<<6=4>{If55>{i:m<36=4>{If55>{i:m<26=4>{If55>{i:m{If55>{i:m{If55>{i:m{If55>{i:m{If55>{i:m{If55>{i:m{If55>{i:m=;6=4>{If55>{i:m=:6=4>{If55>{i:m=96=4>{If55>{i:m=86=4>{If55>{i:m=?6=4>{If55>{i:m=>6=4>{If55>{i:m==6=4>{If55>{i:m=<6=4>{If55>{i:m=36=4>{If55>{i:m=26=4>{If55>{i:m=j6=4>{If55>{i:m=i6=4>{If55>{i:m=h6=4>{If55>{i:m=o6=4>{If55>{i:m=n6=4>{If55>{i:m=m6=4>{If55>{i:m2;6=4>{If55>{i:m2:6=4>{If55>{i:m296=4>{If55>{i:m286=4>{If55>{i:m2?6=4>{If55>{i:m2>6=4>{If55>{i:m2=6=4>{If55>{i:m2<6=4>{If55>{i:m236=4>{If55>{i:m226=4>{If55>{i:m2j6=4>{If55>{i:m2i6=4>{If55>{i:m2h6=4>{If55>{i:m2o6=4>{If55>{i:m2n6=4>{If55>{i:m2m6=4>{If55>{i:m3;6=4>{If55>{i:m3:6=4>{If55>{i:m396=4>{If55>{i:m386=4>{If55>{i:m3?6=4>{If55>{i:m3>6=4>{If55>{i:m3=6=4>{If55>{i:m3<6=4>{If55>{i:m336=4>{If55>{i:m326=4>{If55>{i:m3j6=4>{If55>{i:m3i6=4>{If55>{i:m3h6=4>{If55>{i:m3o6=4>{If55>{i:m3n6=4>{If55>{i:m3m6=4>{If55>{i:mk;6=4>{If55>{i:mk:6=4>{If55>{i:mk96=4>{If55>{i:mk86=4>{If55>{i:mk?6=4>{If55>{i:mk>6=4>{If55>{i:mk=6=4>{If55>{i:mk<6=4>{If55>{i:mk36=4>{If55>{i:mk26=4>{If55>{i:mkj6=4>{If55>{i:mki6=4>{If55>{i:mkh6=4>{If55>{i:mko6=4>{If55>{i:mkn6=4>{If55>{i:mkm6=4>{If55>{i:mh;6=4>{If55>{i:mh:6=4>{If55>{i:mh96=4>{If55>{i:mh86=4>{If55>{i:mh?6=4>{If55>{i:mh>6=4>{If55>{i:mh=6=4>{If55>{i:mh<6=4>{If55>{i:mh36=4>{If55>{i:mh26=4>{If55>{i:mhj6=4>{If55>{i:mhi6=4>{If55>{i:mhh6=4>{If55>{i:mho6=4>{If55>{i:mhn6=4>{If55>{i:mhm6=4>{If55>{i:mi;6=4>{If55>{i:mi:6=4>{If55>{i:mi96=4>{If55>{i:mi86=4>{If55>{i:mi?6=4>{If55>{i:mi>6=4>{If55>{i:mi=6=4>{If55>{i:mi<6=4>{If55>{i:mi36=4>{If55>{i:mi26=4>{If55>{i:mij6=4>{If55>{i:mii6=4>{If55>{i:mih6=4>{If55>{i:mio6=4>{If55>{i:min6=4>{If55>{i:mim6=4>{If55>{i:mn;6=4>{If55>{i:mn:6=4>{If55>{i:mn96=4>{If55>{i:mn86=4>{If55>{i:mn?6=4>{If55>{i:mn>6=4>{If55>{i:mn=6=4>{If55>{i:mn<6=4>{If55>{i:mn36=4>{If55>{i:mn26=4>{If55>{i:mnj6=4>{If55>{i:mni6=4>{If55>{i:mnh6=4>{If55>{i:mno6=4>{If55>{i:mnn6=4>{If55>{i:mnm6=4>{If55>{i:mo;6=4>{If55>{i:mo:6=4>{If55>{i:mo96=4>{If55>{i:mo86=4>{If55>{i:mo?6=4>{If55>{i:mo>6=4>{If55>{i:mo=6=4>{If55>{i:mo<6=4>{If55>{i:mo36=4>{If55>{i:mo26=4>{If55>{i:moj6=4>{If55>{i:moi6=4>{If55>{i:moh6=4>{If55>{i:moo6=4>{If55>{i:mon6=4>{If55>{i:mom6=4>{If55>{i:ml;6=4>{If55>{i:ml:6=4>{If55>{i:ml96=4>{If55>{i:ml86=4>{If55>{i:ml?6=4>{If55>{i:ml>6=4>{If55>{i:ml=6=4>{If55>{i:ml<6=4>{If55>{i:ml36=4>{If55>{i:ml26=4>{If55>{i:mlj6=4>{If55>{i:mli6=4>{If55>{i:mlh6=4>{If55>{i:mlo6=4>{If55>{i:mln6=4>{If55>{i:mlm6=4>{If55>{i:l:;6=4>{If55>{i:l::6=4>{If55>{i:l:96=4>{If55>{i:l:86=4>{If55>{i:l:?6=4>{If55>{i:l:>6=4>{If55>{i:l:=6=4>{If55>{i:l:<6=4>{If55>{i:l:36=4>{If55>{i:l:26=4>{If55>{i:l:j6=4>{If55>{i:l:i6=4>{If55>{i:l:h6=4>{If55>{zutJKOv=;0e8b=525< + + BLOCK + 2020-9-19T21:31:27 + + + + + + + + + + + + microblaze_mcs + + + + + + + + + + + + + + + + + + + + + + + diff --git a/ipcore_dir/microblaze_mcs.v b/ipcore_dir/microblaze_mcs.v new file mode 100644 index 0000000..86b0bc9 --- /dev/null +++ b/ipcore_dir/microblaze_mcs.v @@ -0,0 +1,16200 @@ +//////////////////////////////////////////////////////////////////////////////// +// Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. +//////////////////////////////////////////////////////////////////////////////// +// ____ ____ +// / /\/ / +// /___/ \ / Vendor: Xilinx +// \ \ \/ Version: P.20131013 +// \ \ Application: netgen +// / / Filename: microblaze_mcs.v +// /___/ /\ Timestamp: Sat Sep 19 23:31:27 2020 +// \ \ / \ +// \___\/\___\ +// +// Command : -w -sim -ofmt verilog /home/tim/Projects/z80/hdmi/ipcore_dir/tmp/_cg/microblaze_mcs.ngc /home/tim/Projects/z80/hdmi/ipcore_dir/tmp/_cg/microblaze_mcs.v +// Device : 6slx9tqg144-2 +// Input file : /home/tim/Projects/z80/hdmi/ipcore_dir/tmp/_cg/microblaze_mcs.ngc +// Output file : /home/tim/Projects/z80/hdmi/ipcore_dir/tmp/_cg/microblaze_mcs.v +// # of Modules : 1 +// Design Name : microblaze_mcs +// Xilinx : /opt/Xilinx/14.7/ISE_DS/ISE/ +// +// Purpose: +// This verilog netlist is a verification model and uses simulation +// primitives which may not represent the true implementation of the +// device, however the netlist is functionally correct and should not +// be modified. This file cannot be synthesized and should only be used +// with supported simulation tools. +// +// Reference: +// Command Line Tools User Guide, Chapter 23 and Synthesis and Simulation Design Guide, Chapter 6 +// +//////////////////////////////////////////////////////////////////////////////// + +`timescale 1 ns/1 ps + +module microblaze_mcs ( + Clk, Reset, GPI1_Interrupt, GPI2_Interrupt, GPI3_Interrupt, INTC_IRQ, GPI1, GPI2, GPI3, GPO1, GPO2, GPO3, GPO4 +)/* synthesis syn_black_box syn_noprune=1 */; + input Clk; + input Reset; + output GPI1_Interrupt; + output GPI2_Interrupt; + output GPI3_Interrupt; + output INTC_IRQ; + input [7 : 0] GPI1; + input [0 : 0] GPI2; + input [1 : 0] GPI3; + output [15 : 0] GPO1; + output [15 : 0] GPO2; + output [0 : 0] GPO3; + output [5 : 0] GPO4; + + // synthesis translate_off + + wire \NlwRenamedSig_OI_U0/iomodule_0/IOModule_Core_I1/GPO_I3/gpo_io_i_0 ; + wire \NlwRenamedSig_OI_U0/iomodule_0/IOModule_Core_I1/GPI_I2/GPI_Interrupt ; + wire \NlwRenamedSig_OI_U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/INTC_IRQ ; + wire \U0/ilmb_cntlr/lmb_select ; + wire \U0/ilmb_cntlr/lmb_as_55 ; + wire \U0/ilmb_cntlr/Sl_Rdy_56 ; + wire \U0/filter_reset.reset_vec[2]_filter_reset.reset_vec[1]_OR_2_o ; + wire \U0/LMB_Rst_61 ; + wire \U0/dlmb_LMB_Ready ; + wire \U0/dlmb_M_AddrStrobe ; + wire \U0/dlmb_M_WriteStrobe ; + wire \U0/dlmb_LMB_Rst ; + wire \U0/ilmb_Sl_Ready ; + wire \U0/ilmb_M_AddrStrobe ; + wire \U0/ilmb_LMB_Rst ; + wire \U0/iomodule_0/lmb_reg_read_250 ; + wire \U0/dlmb_Sl_DBus[55] ; + wire \U0/dlmb_Sl_DBus[54] ; + wire \U0/dlmb_Sl_DBus[53] ; + wire \U0/dlmb_Sl_DBus[52] ; + wire \U0/dlmb_Sl_DBus[50] ; + wire \U0/dlmb_Sl_DBus[49] ; + wire \U0/dlmb_Sl_DBus[48] ; + wire \U0/dlmb_Sl_DBus[47] ; + wire \U0/dlmb_Sl_DBus[46] ; + wire \U0/dlmb_Sl_DBus[45] ; + wire \U0/dlmb_Sl_DBus[44] ; + wire \U0/dlmb_Sl_DBus[43] ; + wire \U0/dlmb_Sl_DBus[42] ; + wire \U0/dlmb_Sl_DBus[41] ; + wire \U0/dlmb_Sl_DBus[40] ; + wire \U0/dlmb_Sl_DBus[39] ; + wire \U0/dlmb_Sl_DBus[38] ; + wire \U0/dlmb_Sl_DBus[37] ; + wire \U0/dlmb_Sl_DBus[36] ; + wire \U0/dlmb_Sl_DBus[35] ; + wire \U0/dlmb_Sl_DBus[34] ; + wire \U0/dlmb_Sl_DBus[33] ; + wire \U0/dlmb_Sl_DBus[32] ; + wire \U0/iomodule_0/GND_4322_o_lmb_reg_write_AND_345_o1 ; + wire \U0/iomodule_0/GND_4322_o_lmb_reg_write_AND_333_o1 ; + wire \U0/iomodule_0/gpo4_write ; + wire \U0/iomodule_0/gpo3_write ; + wire \U0/iomodule_0/gpo2_write ; + wire \U0/iomodule_0/gpo1_write ; + wire \U0/iomodule_0/intc_write_cimr ; + wire \U0/iomodule_0/uart_tx_write ; + wire \U0/iomodule_0/LMB_WriteStrobe_LMB_AddrStrobe_AND_331_o ; + wire \U0/iomodule_0/LMB_ReadStrobe_LMB_AddrStrobe_AND_329_o ; + wire \U0/iomodule_0/lmb_reg_write_303 ; + wire \U0/iomodule_0/lmb_reg_read_Q_304 ; + wire \U0/iomodule_0/IOModule_Core_I1/GPI_I3/GPI_Read_inv ; + wire \U0/iomodule_0/IOModule_Core_I1/GPI_I2/Using_GPI.GPI_Sampled_0_338 ; + wire \U0/iomodule_0/IOModule_Core_I1/GPI_I2/GPI_Read_inv ; + wire \U0/iomodule_0/IOModule_Core_I1/intc_cipr[0] ; + wire \U0/iomodule_0/IOModule_Core_I1/intc_cipr[1] ; + wire \U0/iomodule_0/IOModule_Core_I1/intc_cipr[2] ; + wire \U0/iomodule_0/IOModule_Core_I1/intc_cipr[3] ; + wire \U0/iomodule_0/IOModule_Core_I1/intc_cipr[4] ; + wire \U0/iomodule_0/IOModule_Core_I1/intc_cipr[5] ; + wire \U0/iomodule_0/IOModule_Core_I1/intc_cipr[6] ; + wire \U0/iomodule_0/IOModule_Core_I1/intc_cipr[7] ; + wire \U0/iomodule_0/IOModule_Core_I1/intc_cipr[12] ; + wire \U0/iomodule_0/IOModule_Core_I1/GPI_I2/GPI_In_0_352 ; + wire \U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_Read_inv ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd2-In3 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_365 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_368 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f71 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f71 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f72 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f72 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f73 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f73 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f74 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f74 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f75 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f75 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f76 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f76 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f77 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f77 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f78 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f78 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f79 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f79 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f710 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f710 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f711 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f711 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd1-In ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd2-In ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/INTC_READ_CISR_inv ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0297_inv ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0281_inv ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0265_inv ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0249_inv ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/INTC_WRITE_CIAR_fast_ack[12]_OR_244_o ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<0> ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<1> ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<2> ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<3> ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<4> ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<5> ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<6> ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<7> ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<8> ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<9> ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<10> ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<11> ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state[1]_GND_4363_o_Mux_21_o ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cisr_12_458 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cimr_12_460 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cier_12_461 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd2_462 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd1_463 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.do_fast_ack_464 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/sleep_i_465 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.pc_write_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.jump ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.pc_Incr ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Test_Equal_N ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Test_Equal ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.MTSMSR_Write ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.write_Carry ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.new_Carry ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Shift_Carry_In_493 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sext16_495 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sext8_496 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Unsigned_Op_497 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Compare_Instr_498 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.carry_In ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/using_Imm_500 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Instr ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_PC ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/doublet_i_508 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/byte_i_509 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/quadlet_Read_i_510 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/doublet_Read_i_511 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_is_sleep_514 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.enable_Interrupt ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.carry ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.bip_Active ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.reg_neg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.reg_zero ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Carry ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[15].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[15].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[15].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[14].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[14].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[14].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[13].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[13].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[13].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[12].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[12].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[12].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[11].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[11].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[11].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[9].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[9].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[9].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[8].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[8].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[8].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[7].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[7].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[7].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[6].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[6].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[6].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[5].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[5].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[5].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[4].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[4].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[4].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[3].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[3].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[3].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[2].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[2].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[2].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[1].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[1].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[1].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[23].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[23].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[23].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[22].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[22].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[22].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[21].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[21].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[21].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[20].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[20].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[20].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[19].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[19].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[19].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[18].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[18].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[18].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[17].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[17].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[17].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[16].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[16].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[16].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[24].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[24].Operand_Select_Bit_I/op1_SPR ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[24].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[24].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[25].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[25].Operand_Select_Bit_I/op1_SPR ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[25].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[25].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[29].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[29].Operand_Select_Bit_I/op1_SPR ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[29].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[29].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[30].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[30].Operand_Select_Bit_I/op1_SPR ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[30].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[30].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[31].Operand_Select_Bit_I/op2_I ; + wire NlwRenamedSig_OI_GPI3_Interrupt; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[31].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[31].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[28].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[28].Operand_Select_Bit_I/op1_SPR ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[28].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[28].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[27].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[27].Operand_Select_Bit_I/op1_SPR ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[27].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[27].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[26].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[26].Operand_Select_Bit_I/op1_SPR ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[26].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[26].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[0].Operand_Select_Bit_I/op2_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[0].Operand_Select_Bit_I/op1_SPR ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[0].Operand_Select_Bit_I/op1_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[0].Operand_Select_Bit_I/op1_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[31].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[31].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[30].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[30].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[29].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[29].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[28].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[28].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[27].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[27].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[26].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[26].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[25].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[25].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[24].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[24].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[23].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[23].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[22].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[22].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[21].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[21].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[20].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[20].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[19].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[19].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[18].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[18].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[17].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[17].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[16].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[16].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[15].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[15].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[14].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[14].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[13].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[13].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[12].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[12].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[11].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[11].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[10].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[10].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[9].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[9].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[8].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[8].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[7].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[7].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[6].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[6].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[5].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[5].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[4].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[4].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[3].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[3].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[2].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[2].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[1].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[1].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.No_Carry_Decoding.EX_CarryIn_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.No_Carry_Decoding.control_carry ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[0].ALU_Bit_I1/Using_FPGA_LUT6.Last_Bit.maintain_sign_n ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[0].ALU_Bit_I1/Using_FPGA_LUT6.Last_Bit.invert_result ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[0].ALU_Bit_I1/op2_is_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[0].ALU_Bit_I1/alu_AddSub ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[0].ALU_Bit_I1/Using_FPGA_LUT6.Last_Bit.alu_AddSub_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext<0>1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[31].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[31].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[30].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[30].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[29].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[29].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[28].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[28].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[27].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[27].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[26].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[26].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[25].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[25].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[24].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[24].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[23].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[23].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[22].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[22].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[21].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[21].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[20].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[20].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[19].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[19].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[18].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[18].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[17].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[17].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[16].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[16].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[15].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[15].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[14].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[14].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[13].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[13].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[12].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[12].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[11].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[11].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[10].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[10].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[9].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[9].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[8].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[8].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[7].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[7].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[6].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[6].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[5].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[5].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[4].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[4].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[3].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[3].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[2].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[2].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[1].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[1].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[0].Shift_Logic_Bit_I/logic_Res_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[0].Shift_Logic_Bit_I/shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/op1_shift[29] ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/op1_shift[0] ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/msb ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[31].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[31].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[30].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[30].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[29].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[29].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[28].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[28].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[27].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[27].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[26].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[26].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[25].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[25].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[24].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[24].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[23].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[23].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[22].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[22].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[21].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[21].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[20].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[20].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[19].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[19].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[18].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[18].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[17].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[17].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[16].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[16].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[15].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[15].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[14].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[14].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[13].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[13].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[12].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[12].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[11].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[11].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[10].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[10].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[9].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[9].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[8].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[8].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[7].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[7].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[6].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[6].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[5].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[5].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[4].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[4].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[3].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[3].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[2].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[2].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[1].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[1].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[0].Result_Mux_Bit_I/mul_ALU_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[0].Result_Mux_Bit_I/data_Shift_Res ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[29].PC_Bit_I/pc_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[29].PC_Bit_I/xor_Sum ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[29].PC_Bit_I/pc_Sum ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[28].PC_Bit_I/pc_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[28].PC_Bit_I/xor_Sum ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[28].PC_Bit_I/pc_Sum ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[27].PC_Bit_I/pc_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[27].PC_Bit_I/xor_Sum ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[27].PC_Bit_I/pc_Sum ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[26].PC_Bit_I/pc_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[26].PC_Bit_I/xor_Sum ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[26].PC_Bit_I/pc_Sum ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[25].PC_Bit_I/pc_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[25].PC_Bit_I/xor_Sum ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[25].PC_Bit_I/pc_Sum ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[24].PC_Bit_I/pc_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[24].PC_Bit_I/xor_Sum ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[24].PC_Bit_I/pc_Sum ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[23].PC_Bit_I/pc_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[23].PC_Bit_I/xor_Sum ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[23].PC_Bit_I/pc_Sum ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[22].PC_Bit_I/pc_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[22].PC_Bit_I/xor_Sum ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[22].PC_Bit_I/pc_Sum ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[21].PC_Bit_I/pc_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[21].PC_Bit_I/xor_Sum ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[21].PC_Bit_I/pc_Sum ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[20].PC_Bit_I/pc_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[20].PC_Bit_I/xor_Sum ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[20].PC_Bit_I/pc_Sum ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[19].PC_Bit_I/pc_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[19].PC_Bit_I/xor_Sum ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[19].PC_Bit_I/pc_Sum ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[18].PC_Bit_I/pc_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[18].PC_Bit_I/xor_Sum ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[18].PC_Bit_I/pc_Sum ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_115_o<0>1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[21]_AND_21_o1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_114_o<0>1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable28 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable26 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF<7>1_1240 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF<8>1_1241 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF<9>1_1242 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_PipeRun_s_I12 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable11 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable10 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable3 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_PipeRun_s_I1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/_n0915 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/sub_Carry ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force_Val2_n_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/use_Reg_Neg_DI_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force_Val1_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reg_Test_Equal_N_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reg_Test_Equal_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/use_Reg_Neg_S_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force1_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Valid_Reg ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force2_i ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/correct_Carry_II ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/n0181 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_IExt_Exception_AND_20_o ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[6]_Select_92_o_1264 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/correct_Carry_Select ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/n0242 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF[3]_take_Intr_Now_AND_107_o ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_take_Intr_Now_AND_112_o ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/GND_12_o_PWR_12_o_MUX_4239_o ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/GND_12_o_PWR_12_o_MUX_4238_o ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/GND_12_o_GND_12_o_MUX_4393_o ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/opsel1_SPR_Select ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/GND_12_o_GND_12_o_MUX_4150_o ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/correct_Carry_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_Intr_Now_II ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ifetch_carry2 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[25]_equal_70_o ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/GND_12_o_instr_OF[9]_MUX_4392_o ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.res_forward2_2 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.res_forward1_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/GND_12_o_instr_OF[31]_MUX_4230_o ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/GND_12_o_instr_OF[4]_equal_54_o ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/use_ALU_Carry ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_99_o ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/opsel1_SPR_Select_2_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/opsel1_SPR_Select_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF[0]_INV_44_o ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.res_forward2_1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/opsel1_SPR_Select_2_2 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_mbar_decode ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.res_forward1_2 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[21]_AND_22_o ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[21]_AND_21_o ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/jump_Carry2 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/correct_Carry ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_Intr_Now_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reset_n ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/enable_Interrupts_I_1298 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/dready_Valid ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/delay_slot_jump ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/iFetch_In_Progress_n ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_GND_12_o_MUX_4170_o ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_Intr_Now_Select_I ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_Intr_Now_Select ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/intr_or_delay_slot_jump ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.res_forward2_3 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.res_forward1_3 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Reg_1310 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ifetch_carry1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/jump_carry3_sel ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/MSR_Carry ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force_DI2 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force_jump2 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_PipeRun_without_dready ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_PipeRun_Select ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force_DI1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force_jump1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/jump_Carry1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Reg_I_S ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/nonvalid_IFetch_n_IReady_MUX_4205_o ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Interrupt_FSL_Atomic_AND_167_o ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/set_BIP_I_1325 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reset_BIP_I_1326 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mtsmsr_write_i_1328 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_hold_I_1329 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/writing_1330 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/select_ALU_Carry_1331 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/is_swx_I_1332 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/is_lwx_I_1333 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/load_Store_i_1334 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/inHibit_EX_1335 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_sleep_1336 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/nonvalid_IFetch_n_1337 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/missed_IFetch_1338 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Carry_I_1339 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reservation_1340 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/iFetch_In_Progress_1341 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/jump2_I_1342 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_decode_I_1343 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_1344 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_dynamic_instr_Address.old_IE_value_1345 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/d_AS_I_1346 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/swx_ready_1347 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/break_Pipe_i_1348 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/active_wakeup_1349 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/EX_First_Cycle_1350 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mul_Handling.mbar_first_1351 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reset_delay_1352 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/use_Reg_Neg_DI ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/use_Reg_Neg_S ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force_Val2_N ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force_Val1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force2 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/buffer_Full ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_Valid ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/of_Valid_early ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/reset_Buffer_Addr ; + wire \U0/dlmb_cntlr/Sl_Rdy_1390 ; + wire \U0/dlmb_cntlr/lmb_as_1391 ; + wire \U0/dlmb_cntlr/lmb_select ; + wire \U0/ilmb_cntlr/Sl_Rdy_inv ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I1 ; + wire N2; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_GND_12_o_GND_12_o_MUX_4150_o1 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_GND_12_o_GND_12_o_MUX_4150_o11_1397 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_PWR_12_o_GND_12_o_MUX_4170_o11 ; + wire N12; + wire N14; + wire N16; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cisr_12_glue_set_1402 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/Using_FPGA.MSR_Bits[28].Using_MSR_Reg_Bit.MSR_Reg_Bit_I/MSR_I_glue_set_1403 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/Using_FPGA.MSR_Bits[29].Using_MSR_Reg_Bit.MSR_Reg_Bit_I/MSR_I_glue_ce_1404 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/Using_FPGA.MSR_Bits[29].Using_MSR_Reg_Bit.MSR_Reg_Bit_I/MSR_I_glue_set_1405 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/Using_FPGA.MSR_Bits[30].Using_MSR_Reg_Bit.MSR_Reg_Bit_I/MSR_I_glue_set_1406 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Force_Val2_FDRSE_glue_set_1407 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Reg_glue_set_1408 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Interrupt_Ack_0_glue_set_1409 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Interrupt_Ack_1_glue_set_1410 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Carry_I_glue_set_1411 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/inHibit_EX_glue_ce_1412 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_glue_set_1413 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/quadlet_Read_i_glue_set_1414 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/doublet_Read_i_glue_set_1415 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_sleep_glue_set_1416 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/missed_IFetch_glue_set_1417 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/nonvalid_IFetch_n_glue_rst_1418 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/iFetch_In_Progress_glue_set_1419 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reservation_glue_set_1420 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.Part_Of_Zero_Carry_Start_rt_1421 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.New_Carry_MUXCY_rt_1422 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.clean_iReady_MuxCY_rt_1423 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_rt_1424 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_rt1_1425 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_rt_1426 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_rt1_1427 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_0_rt_1428 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_0_rt1_1429 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_0_rt_1430 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_0_rt1_1431 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_1_rt_1432 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_1_rt1_1433 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_1_rt_1434 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_1_rt1_1435 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_2_rt_1436 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_2_rt1_1437 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_2_rt_1438 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_2_rt1_1439 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_3_rt_1440 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_3_rt1_1441 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_3_rt_1442 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_3_rt1_1443 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_4_rt_1444 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_4_rt1_1445 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_4_rt_1446 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_4_rt1_1447 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_5_rt_1448 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_5_rt1_1449 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_5_rt_1450 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_5_rt1_1451 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_6_rt_1452 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_6_rt1_1453 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_6_rt_1454 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_6_rt1_1455 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_7_rt_1456 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_7_rt1_1457 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_7_rt_1458 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_7_rt1_1459 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_8_rt_1460 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_8_rt1_1461 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_8_rt_1462 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_8_rt1_1463 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_9_rt_1464 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_9_rt1_1465 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_9_rt_1466 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_9_rt1_1467 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_10_rt_1468 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_10_rt1_1469 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_10_rt_1470 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_10_rt1_1471 ; + wire \U0/iomodule_0/IOModule_Core_I1/GPO_I3/gpo_io_i_0_rstpot_1472 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr_2_rstpot_1473 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cimr_12_rstpot_1474 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cier_12_rstpot_1475 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_rstpot ; + wire \U0/iomodule_0/IOModule_Core_I1/GPI_I2/GPI_Interrupt_rstpot_1477 ; + wire \U0/iomodule_0/IOModule_Core_I1/GPI_I2/GPI_In_0_rstpot_1478 ; + wire \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/INTC_CISR_12_rstpot_1479 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/swx_ready_rstpot_1480 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/d_AS_I_rstpot_1481 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/active_wakeup_rstpot_1482 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/inHibit_EX_rstpot_1483 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/sleep_i_rstpot_1484 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_rstpot1_1485 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mtsmsr_write_i_rstpot1_1486 ; + wire \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_hold_I_rstpot1_1487 ; + wire N54; + wire N56; + wire N58; + wire N60; + wire N62; + wire N64; + wire N66; + wire N68; + wire N70; + wire N72; + wire N74; + wire N76; + wire N78; + wire N80; + wire N82; + wire N84; + wire N86; + wire N90; + wire N92; + wire N94; + wire N96; + wire N98; + wire N100; + wire N101; + wire N102; + wire N103; + wire N104; + wire N105; + wire N106; + wire N107; + wire N108; + wire N109; + wire N110; + wire N111; + wire N112; + wire N113; + wire N114; + wire N115; + wire N116; + wire N117; + wire N118; + wire N119; + wire N120; + wire N121; + wire N122; + wire N123; + wire N124; + wire N125; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[31].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[30].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[29].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[28].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[27].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[26].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[25].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[24].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[23].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[22].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[21].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[20].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[19].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[18].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[17].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[16].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[15].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[14].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[13].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[12].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[11].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[10].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[9].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[8].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[7].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[6].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[5].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[4].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[3].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[2].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[1].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[0].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[31].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[30].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[29].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[28].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[27].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[26].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[25].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[24].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[23].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[22].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[21].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[20].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[19].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[18].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[17].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[16].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[15].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[14].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[13].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[12].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[11].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[10].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[9].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[8].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[7].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[6].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[5].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[4].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[3].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[2].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[1].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[0].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[18].PC_Bit_I/MUXCY_X_LO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[29].PC_Bit_I/PC_EX_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[28].PC_Bit_I/PC_EX_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[27].PC_Bit_I/PC_EX_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[26].PC_Bit_I/PC_EX_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[25].PC_Bit_I/PC_EX_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[24].PC_Bit_I/PC_EX_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[23].PC_Bit_I/PC_EX_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[22].PC_Bit_I/PC_EX_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[21].PC_Bit_I/PC_EX_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[20].PC_Bit_I/PC_EX_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[19].PC_Bit_I/PC_EX_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[18].PC_Bit_I/PC_EX_DFF_Q_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.iFetch_MuxCY_3_LO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.Buffer_DFFs[1].buffer_Addr_MUXCY_L_LO_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.FPGA_LUT6_Target_ADDR.LOW_ADDR_OUT_LUT6_O6_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.FPGA_LUT6_Target_ADDR.LOW_ADDR_OUT_LUT6_O5_UNCONNECTED ; + wire \NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Ext_NM_BRK_FDRSE_Q_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_REGCEA_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_REGCEB_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIPA<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIPA<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIPA<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIPA<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_ADDRA<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_ADDRA<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_ADDRB<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_ADDRB<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOPA<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOPA<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOPA<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOPA<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIPB<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIPB<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIPB<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIPB<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOPB<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOPB<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOPB<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOPB<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_REGCEA_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_REGCEB_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIPA<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIPA<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIPA<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIPA<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_ADDRA<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_ADDRA<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_ADDRB<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_ADDRB<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOPA<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOPA<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOPA<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOPA<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIPB<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIPB<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIPB<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIPB<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOPB<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOPB<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOPB<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOPB<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_REGCEA_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_REGCEB_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIPA<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIPA<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIPA<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIPA<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_ADDRA<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_ADDRA<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_ADDRB<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_ADDRB<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOPA<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOPA<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOPA<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOPA<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIPB<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIPB<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIPB<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIPB<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOPB<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOPB<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOPB<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOPB<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_REGCEA_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_REGCEB_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIPA<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIPA<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIPA<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIPA<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_ADDRA<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_ADDRA<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_ADDRB<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_ADDRB<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOPA<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOPA<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOPA<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOPA<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIPB<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIPB<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIPB<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIPB<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOPB<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOPB<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOPB<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOPB<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_REGCEA_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_REGCEB_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIPA<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIPA<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIPA<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIPA<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_ADDRA<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_ADDRA<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_ADDRB<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_ADDRB<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOPA<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOPA<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOPA<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOPA<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIPB<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIPB<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIPB<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIPB<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOPB<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOPB<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOPB<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOPB<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_REGCEA_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_REGCEB_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIPA<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIPA<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIPA<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIPA<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_ADDRA<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_ADDRA<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_ADDRB<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_ADDRB<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOPA<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOPA<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOPA<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOPA<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIPB<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIPB<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIPB<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIPB<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOPB<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOPB<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOPB<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOPB<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_REGCEA_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_REGCEB_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIPA<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIPA<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIPA<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIPA<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_ADDRA<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_ADDRA<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_ADDRB<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_ADDRB<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOPA<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOPA<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOPA<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOPA<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIPB<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIPB<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIPB<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIPB<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOPB<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOPB<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOPB<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOPB<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_REGCEA_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_REGCEB_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIPA<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIPA<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIPA<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIPA<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_ADDRA<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_ADDRA<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_ADDRB<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_ADDRB<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOPA<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOPA<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOPA<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOPA<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIPB<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIPB<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIPB<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIPB<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOPB<3>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOPB<2>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOPB<1>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOPB<0>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<4>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<31>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<30>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<29>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<28>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<27>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<26>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<25>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<24>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<23>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<22>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<21>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<20>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<19>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<18>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<17>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<16>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<15>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<14>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<13>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<12>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<11>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<10>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<9>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<8>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<7>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<6>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<5>_UNCONNECTED ; + wire \NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<4>_UNCONNECTED ; + wire [15 : 0] \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i ; + wire [15 : 0] \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i ; + wire [5 : 0] \U0/iomodule_0/IOModule_Core_I1/GPO_I4/gpo_io_i ; + wire [2 : 0] \U0/filter_reset.reset_vec ; + wire [1 : 0] \U0/dlmb_Sl_Ready ; + wire [31 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write ; + wire [3 : 0] \U0/dlmb_port_BRAM_WEN ; + wire [31 : 0] \U0/dlmb_port_BRAM_Din ; + wire [3 : 0] \U0/dlmb_M_BE ; + wire [23 : 0] \U0/dlmb_M_DBus ; + wire [31 : 0] \U0/dlmb_LMB_ReadDBus ; + wire [29 : 0] \U0/dlmb_M_ABus ; + wire [31 : 0] \U0/ilmb_port_BRAM_Din ; + wire [29 : 18] \U0/ilmb_M_ABus ; + wire [1 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Interrupt_Ack ; + wire [11 : 0] \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i ; + wire [5 : 0] \U0/iomodule_0/lmb_abus_Q ; + wire [31 : 0] \U0/iomodule_0/write_data ; + wire [12 : 12] \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/INTC_CISR ; + wire [1 : 0] \U0/iomodule_0/IOModule_Core_I1/GPI_I3/GPI_In ; + wire [7 : 0] \U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_In ; + wire [11 : 0] \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12 ; + wire [11 : 0] \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8 ; + wire [11 : 0] \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4 ; + wire [11 : 0] \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0 ; + wire [2 : 2] \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.mux_res<0> ; + wire [2 : 2] \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr ; + wire [31 : 16] \U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read ; + wire [1 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/FPU_Cond ; + wire [1 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper ; + wire [3 : 1] \U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr ; + wire [1 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel ; + wire [1 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op ; + wire [15 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value ; + wire [4 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr ; + wire [4 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I ; + wire [1 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.Op1_Low ; + wire [29 : 18] \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I ; + wire [31 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result ; + wire [31 : 30] \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/alu_Result ; + wire [31 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i ; + wire [28 : 1] \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i ; + wire [31 : 1] \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 ; + wire [31 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data ; + wire [31 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data ; + wire [15 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg ; + wire [32 : 1] \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry ; + wire [0 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext ; + wire [31 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic ; + wire [0 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/DATA_SIZE_gt_8.DATA_SIZE_gt_16.Mask_DOUBLET_MSB.Upper_extend ; + wire [16 : 16] \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/data_Read_Mask ; + wire [29 : 19] \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry ; + wire [30 : 28] \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/rst_Values_II ; + wire [5 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.nibble_Zero ; + wire [5 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.zero_CI ; + wire [1 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/alu_Op_II ; + wire [1 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/alu_Op_I ; + wire [10 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF ; + wire [3 : 1] \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/buffer_Addr_Sum ; + wire [3 : 1] \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.buffer_Addr_S_I ; + wire [3 : 2] \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/buffer_Addr_Carry ; + wire [1 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/byte_selects ; + wire [1 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/low_addr_i ; + wire [1 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_Write_Mux_MSB ; + wire [1 : 0] \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_LSB ; + assign + GPO1[15] = \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [15], + GPO1[14] = \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [14], + GPO1[13] = \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [13], + GPO1[12] = \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [12], + GPO1[11] = \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [11], + GPO1[10] = \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [10], + GPO1[9] = \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [9], + GPO1[8] = \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [8], + GPO1[7] = \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [7], + GPO1[6] = \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [6], + GPO1[5] = \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [5], + GPO1[4] = \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [4], + GPO1[3] = \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [3], + GPO1[2] = \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [2], + GPO1[1] = \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [1], + GPO1[0] = \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [0], + GPO2[15] = \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [15], + GPO2[14] = \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [14], + GPO2[13] = \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [13], + GPO2[12] = \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [12], + GPO2[11] = \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [11], + GPO2[10] = \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [10], + GPO2[9] = \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [9], + GPO2[8] = \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [8], + GPO2[7] = \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [7], + GPO2[6] = \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [6], + GPO2[5] = \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [5], + GPO2[4] = \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [4], + GPO2[3] = \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [3], + GPO2[2] = \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [2], + GPO2[1] = \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [1], + GPO2[0] = \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [0], + GPO3[0] = \NlwRenamedSig_OI_U0/iomodule_0/IOModule_Core_I1/GPO_I3/gpo_io_i_0 , + GPO4[5] = \U0/iomodule_0/IOModule_Core_I1/GPO_I4/gpo_io_i [5], + GPO4[4] = \U0/iomodule_0/IOModule_Core_I1/GPO_I4/gpo_io_i [4], + GPO4[3] = \U0/iomodule_0/IOModule_Core_I1/GPO_I4/gpo_io_i [3], + GPO4[2] = \U0/iomodule_0/IOModule_Core_I1/GPO_I4/gpo_io_i [2], + GPO4[1] = \U0/iomodule_0/IOModule_Core_I1/GPO_I4/gpo_io_i [1], + GPO4[0] = \U0/iomodule_0/IOModule_Core_I1/GPO_I4/gpo_io_i [0], + GPI1_Interrupt = NlwRenamedSig_OI_GPI3_Interrupt, + GPI2_Interrupt = \NlwRenamedSig_OI_U0/iomodule_0/IOModule_Core_I1/GPI_I2/GPI_Interrupt , + GPI3_Interrupt = NlwRenamedSig_OI_GPI3_Interrupt, + INTC_IRQ = \NlwRenamedSig_OI_U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/INTC_IRQ ; + VCC XST_VCC ( + .P(\U0/ilmb_cntlr/lmb_select ) + ); + GND XST_GND ( + .G(NlwRenamedSig_OI_GPI3_Interrupt) + ); + FDR \U0/ilmb_cntlr/Sl_Rdy ( + .C(Clk), + .D(\U0/ilmb_cntlr/lmb_select ), + .R(\U0/ilmb_LMB_Rst ), + .Q(\U0/ilmb_cntlr/Sl_Rdy_56 ) + ); + FDR \U0/ilmb_cntlr/lmb_as ( + .C(Clk), + .D(\U0/ilmb_M_AddrStrobe ), + .R(\U0/ilmb_LMB_Rst ), + .Q(\U0/ilmb_cntlr/lmb_as_55 ) + ); + FDS \U0/ilmb/POR_FF_I ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .S(\U0/LMB_Rst_61 ), + .Q(\U0/ilmb_LMB_Rst ) + ); + FD \U0/filter_reset.reset_vec_0 ( + .C(Clk), + .D(Reset), + .Q(\U0/filter_reset.reset_vec [0]) + ); + FD \U0/filter_reset.reset_vec_1 ( + .C(Clk), + .D(\U0/filter_reset.reset_vec [0]), + .Q(\U0/filter_reset.reset_vec [1]) + ); + FD \U0/filter_reset.reset_vec_2 ( + .C(Clk), + .D(\U0/filter_reset.reset_vec [1]), + .Q(\U0/filter_reset.reset_vec [2]) + ); + FD \U0/LMB_Rst ( + .C(Clk), + .D(\U0/filter_reset.reset_vec[2]_filter_reset.reset_vec[1]_OR_2_o ), + .Q(\U0/LMB_Rst_61 ) + ); + FD \U0/iomodule_0/lmb_reg_read_Q ( + .C(Clk), + .D(\U0/iomodule_0/lmb_reg_read_250 ), + .Q(\U0/iomodule_0/lmb_reg_read_Q_304 ) + ); + FD \U0/iomodule_0/lmb_abus_Q_0 ( + .C(Clk), + .D(\U0/dlmb_M_ABus [24]), + .Q(\U0/iomodule_0/lmb_abus_Q [0]) + ); + FD \U0/iomodule_0/lmb_abus_Q_1 ( + .C(Clk), + .D(\U0/dlmb_M_ABus [25]), + .Q(\U0/iomodule_0/lmb_abus_Q [1]) + ); + FD \U0/iomodule_0/lmb_abus_Q_2 ( + .C(Clk), + .D(\U0/dlmb_M_ABus [26]), + .Q(\U0/iomodule_0/lmb_abus_Q [2]) + ); + FD \U0/iomodule_0/lmb_abus_Q_3 ( + .C(Clk), + .D(\U0/dlmb_M_ABus [27]), + .Q(\U0/iomodule_0/lmb_abus_Q [3]) + ); + FD \U0/iomodule_0/lmb_abus_Q_4 ( + .C(Clk), + .D(\U0/dlmb_M_ABus [28]), + .Q(\U0/iomodule_0/lmb_abus_Q [4]) + ); + FD \U0/iomodule_0/lmb_abus_Q_5 ( + .C(Clk), + .D(\U0/dlmb_M_ABus [29]), + .Q(\U0/iomodule_0/lmb_abus_Q [5]) + ); + FD \U0/iomodule_0/lmb_reg_read ( + .C(Clk), + .D(\U0/iomodule_0/LMB_ReadStrobe_LMB_AddrStrobe_AND_329_o ), + .Q(\U0/iomodule_0/lmb_reg_read_250 ) + ); + FD \U0/iomodule_0/lmb_reg_write ( + .C(Clk), + .D(\U0/iomodule_0/LMB_WriteStrobe_LMB_AddrStrobe_AND_331_o ), + .Q(\U0/iomodule_0/lmb_reg_write_303 ) + ); + FD \U0/iomodule_0/write_data_31 ( + .C(Clk), + .D(\U0/dlmb_M_DBus [0]), + .Q(\U0/iomodule_0/write_data [31]) + ); + FD \U0/iomodule_0/write_data_30 ( + .C(Clk), + .D(\U0/dlmb_M_DBus [1]), + .Q(\U0/iomodule_0/write_data [30]) + ); + FD \U0/iomodule_0/write_data_29 ( + .C(Clk), + .D(\U0/dlmb_M_DBus [2]), + .Q(\U0/iomodule_0/write_data [29]) + ); + FD \U0/iomodule_0/write_data_28 ( + .C(Clk), + .D(\U0/dlmb_M_DBus [3]), + .Q(\U0/iomodule_0/write_data [28]) + ); + FD \U0/iomodule_0/write_data_27 ( + .C(Clk), + .D(\U0/dlmb_M_DBus [4]), + .Q(\U0/iomodule_0/write_data [27]) + ); + FD \U0/iomodule_0/write_data_26 ( + .C(Clk), + .D(\U0/dlmb_M_DBus [5]), + .Q(\U0/iomodule_0/write_data [26]) + ); + FD \U0/iomodule_0/write_data_25 ( + .C(Clk), + .D(\U0/dlmb_M_DBus [6]), + .Q(\U0/iomodule_0/write_data [25]) + ); + FD \U0/iomodule_0/write_data_24 ( + .C(Clk), + .D(\U0/dlmb_M_DBus [7]), + .Q(\U0/iomodule_0/write_data [24]) + ); + FD \U0/iomodule_0/write_data_23 ( + .C(Clk), + .D(\U0/dlmb_M_DBus [8]), + .Q(\U0/iomodule_0/write_data [23]) + ); + FD \U0/iomodule_0/write_data_22 ( + .C(Clk), + .D(\U0/dlmb_M_DBus [9]), + .Q(\U0/iomodule_0/write_data [22]) + ); + FD \U0/iomodule_0/write_data_21 ( + .C(Clk), + .D(\U0/dlmb_M_DBus [10]), + .Q(\U0/iomodule_0/write_data [21]) + ); + FD \U0/iomodule_0/write_data_20 ( + .C(Clk), + .D(\U0/dlmb_M_DBus [11]), + .Q(\U0/iomodule_0/write_data [20]) + ); + FD \U0/iomodule_0/write_data_19 ( + .C(Clk), + .D(\U0/dlmb_M_DBus [12]), + .Q(\U0/iomodule_0/write_data [19]) + ); + FD \U0/iomodule_0/write_data_18 ( + .C(Clk), + .D(\U0/dlmb_M_DBus [13]), + .Q(\U0/iomodule_0/write_data [18]) + ); + FD \U0/iomodule_0/write_data_17 ( + .C(Clk), + .D(\U0/dlmb_M_DBus [14]), + .Q(\U0/iomodule_0/write_data [17]) + ); + FD \U0/iomodule_0/write_data_16 ( + .C(Clk), + .D(\U0/dlmb_M_DBus [15]), + .Q(\U0/iomodule_0/write_data [16]) + ); + FD \U0/iomodule_0/write_data_15 ( + .C(Clk), + .D(\U0/dlmb_M_DBus [16]), + .Q(\U0/iomodule_0/write_data [15]) + ); + FD \U0/iomodule_0/write_data_14 ( + .C(Clk), + .D(\U0/dlmb_M_DBus [17]), + .Q(\U0/iomodule_0/write_data [14]) + ); + FD \U0/iomodule_0/write_data_13 ( + .C(Clk), + .D(\U0/dlmb_M_DBus [18]), + .Q(\U0/iomodule_0/write_data [13]) + ); + FD \U0/iomodule_0/write_data_12 ( + .C(Clk), + .D(\U0/dlmb_M_DBus [19]), + .Q(\U0/iomodule_0/write_data [12]) + ); + FD \U0/iomodule_0/write_data_11 ( + .C(Clk), + .D(\U0/dlmb_M_DBus [20]), + .Q(\U0/iomodule_0/write_data [11]) + ); + FD \U0/iomodule_0/write_data_10 ( + .C(Clk), + .D(\U0/dlmb_M_DBus [21]), + .Q(\U0/iomodule_0/write_data [10]) + ); + FD \U0/iomodule_0/write_data_9 ( + .C(Clk), + .D(\U0/dlmb_M_DBus [22]), + .Q(\U0/iomodule_0/write_data [9]) + ); + FD \U0/iomodule_0/write_data_8 ( + .C(Clk), + .D(\U0/dlmb_M_DBus [23]), + .Q(\U0/iomodule_0/write_data [8]) + ); + FD \U0/iomodule_0/write_data_7 ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [24]), + .Q(\U0/iomodule_0/write_data [7]) + ); + FD \U0/iomodule_0/write_data_6 ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [25]), + .Q(\U0/iomodule_0/write_data [6]) + ); + FD \U0/iomodule_0/write_data_5 ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [26]), + .Q(\U0/iomodule_0/write_data [5]) + ); + FD \U0/iomodule_0/write_data_4 ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [27]), + .Q(\U0/iomodule_0/write_data [4]) + ); + FD \U0/iomodule_0/write_data_3 ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [28]), + .Q(\U0/iomodule_0/write_data [3]) + ); + FD \U0/iomodule_0/write_data_2 ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [29]), + .Q(\U0/iomodule_0/write_data [2]) + ); + FD \U0/iomodule_0/write_data_1 ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [30]), + .Q(\U0/iomodule_0/write_data [1]) + ); + FD \U0/iomodule_0/write_data_0 ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [31]), + .Q(\U0/iomodule_0/write_data [0]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I4/gpo_io_i_0 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo4_write ), + .D(\U0/iomodule_0/write_data [0]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I4/gpo_io_i [0]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I4/gpo_io_i_1 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo4_write ), + .D(\U0/iomodule_0/write_data [1]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I4/gpo_io_i [1]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I4/gpo_io_i_2 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo4_write ), + .D(\U0/iomodule_0/write_data [2]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I4/gpo_io_i [2]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I4/gpo_io_i_3 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo4_write ), + .D(\U0/iomodule_0/write_data [3]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I4/gpo_io_i [3]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I4/gpo_io_i_4 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo4_write ), + .D(\U0/iomodule_0/write_data [4]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I4/gpo_io_i [4]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I4/gpo_io_i_5 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo4_write ), + .D(\U0/iomodule_0/write_data [5]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I4/gpo_io_i [5]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i_0 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo1_write ), + .D(\U0/iomodule_0/write_data [0]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [0]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i_1 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo1_write ), + .D(\U0/iomodule_0/write_data [1]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [1]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i_2 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo1_write ), + .D(\U0/iomodule_0/write_data [2]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [2]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i_3 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo1_write ), + .D(\U0/iomodule_0/write_data [3]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [3]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i_4 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo1_write ), + .D(\U0/iomodule_0/write_data [4]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [4]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i_5 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo1_write ), + .D(\U0/iomodule_0/write_data [5]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [5]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i_6 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo1_write ), + .D(\U0/iomodule_0/write_data [6]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [6]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i_7 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo1_write ), + .D(\U0/iomodule_0/write_data [7]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [7]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i_8 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo1_write ), + .D(\U0/iomodule_0/write_data [8]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [8]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i_9 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo1_write ), + .D(\U0/iomodule_0/write_data [9]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [9]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i_10 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo1_write ), + .D(\U0/iomodule_0/write_data [10]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [10]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i_11 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo1_write ), + .D(\U0/iomodule_0/write_data [11]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [11]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i_12 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo1_write ), + .D(\U0/iomodule_0/write_data [12]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [12]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i_13 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo1_write ), + .D(\U0/iomodule_0/write_data [13]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [13]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i_14 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo1_write ), + .D(\U0/iomodule_0/write_data [14]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [14]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i_15 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo1_write ), + .D(\U0/iomodule_0/write_data [15]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I1/gpo_io_i [15]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i_0 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo2_write ), + .D(\U0/iomodule_0/write_data [0]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [0]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i_1 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo2_write ), + .D(\U0/iomodule_0/write_data [1]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [1]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i_2 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo2_write ), + .D(\U0/iomodule_0/write_data [2]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [2]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i_3 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo2_write ), + .D(\U0/iomodule_0/write_data [3]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [3]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i_4 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo2_write ), + .D(\U0/iomodule_0/write_data [4]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [4]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i_5 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo2_write ), + .D(\U0/iomodule_0/write_data [5]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [5]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i_6 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo2_write ), + .D(\U0/iomodule_0/write_data [6]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [6]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i_7 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo2_write ), + .D(\U0/iomodule_0/write_data [7]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [7]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i_8 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo2_write ), + .D(\U0/iomodule_0/write_data [8]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [8]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i_9 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo2_write ), + .D(\U0/iomodule_0/write_data [9]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [9]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i_10 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo2_write ), + .D(\U0/iomodule_0/write_data [10]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [10]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i_11 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo2_write ), + .D(\U0/iomodule_0/write_data [11]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [11]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i_12 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo2_write ), + .D(\U0/iomodule_0/write_data [12]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [12]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i_13 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo2_write ), + .D(\U0/iomodule_0/write_data [13]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [13]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i_14 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo2_write ), + .D(\U0/iomodule_0/write_data [14]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [14]) + ); + FDRE \U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i_15 ( + .C(Clk), + .CE(\U0/iomodule_0/gpo2_write ), + .D(\U0/iomodule_0/write_data [15]), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPO_I2/gpo_io_i [15]) + ); + FDR \U0/iomodule_0/IOModule_Core_I1/GPI_I3/GPI_In_0 ( + .C(Clk), + .D(GPI3[0]), + .R(\U0/iomodule_0/IOModule_Core_I1/GPI_I3/GPI_Read_inv ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPI_I3/GPI_In [0]) + ); + FDR \U0/iomodule_0/IOModule_Core_I1/GPI_I3/GPI_In_1 ( + .C(Clk), + .D(GPI3[1]), + .R(\U0/iomodule_0/IOModule_Core_I1/GPI_I3/GPI_Read_inv ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPI_I3/GPI_In [1]) + ); + FD \U0/iomodule_0/IOModule_Core_I1/GPI_I2/Using_GPI.GPI_Sampled_0 ( + .C(Clk), + .D(GPI2[0]), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPI_I2/Using_GPI.GPI_Sampled_0_338 ) + ); + FDR \U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_In_7 ( + .C(Clk), + .D(GPI1[7]), + .R(\U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_Read_inv ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_In [7]) + ); + FDR \U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_In_6 ( + .C(Clk), + .D(GPI1[6]), + .R(\U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_Read_inv ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_In [6]) + ); + FDR \U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_In_5 ( + .C(Clk), + .D(GPI1[5]), + .R(\U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_Read_inv ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_In [5]) + ); + FDR \U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_In_4 ( + .C(Clk), + .D(GPI1[4]), + .R(\U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_Read_inv ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_In [4]) + ); + FDR \U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_In_3 ( + .C(Clk), + .D(GPI1[3]), + .R(\U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_Read_inv ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_In [3]) + ); + FDR \U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_In_2 ( + .C(Clk), + .D(GPI1[2]), + .R(\U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_Read_inv ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_In [2]) + ); + FDR \U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_In_1 ( + .C(Clk), + .D(GPI1[1]), + .R(\U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_Read_inv ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_In [1]) + ); + FDR \U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_In_0 ( + .C(Clk), + .D(GPI1[0]), + .R(\U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_Read_inv ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_In [0]) + ); + MUXF7 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_rt_1424 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_rt1_1425 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_365 ) + ); + MUXF7 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_rt_1426 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_rt1_1427 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_368 ) + ); + MUXF8 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_2_f8 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_368 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_365 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<0> ) + ); + MUXF7 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_0 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_0_rt_1428 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_0_rt1_1429 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f71 ) + ); + MUXF7 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_0 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_0_rt_1430 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_0_rt1_1431 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f71 ) + ); + MUXF8 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_2_f8_0 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f71 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f71 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<10> ) + ); + MUXF7 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_1_rt_1432 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_1_rt1_1433 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f72 ) + ); + MUXF7 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_1_rt_1434 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_1_rt1_1435 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f72 ) + ); + MUXF8 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_2_f8_1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f72 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f72 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<11> ) + ); + MUXF7 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_2 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_2_rt_1436 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_2_rt1_1437 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f73 ) + ); + MUXF7 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_2 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_2_rt_1438 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_2_rt1_1439 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f73 ) + ); + MUXF8 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_2_f8_2 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f73 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f73 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<1> ) + ); + MUXF7 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_3 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_3_rt_1440 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_3_rt1_1441 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f74 ) + ); + MUXF7 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_3 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_3_rt_1442 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_3_rt1_1443 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f74 ) + ); + MUXF8 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_2_f8_3 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f74 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f74 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<2> ) + ); + MUXF7 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_4 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_4_rt_1444 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_4_rt1_1445 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f75 ) + ); + MUXF7 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_4 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_4_rt_1446 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_4_rt1_1447 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f75 ) + ); + MUXF8 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_2_f8_4 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f75 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f75 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<3> ) + ); + MUXF7 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_5 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_5_rt_1448 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_5_rt1_1449 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f76 ) + ); + MUXF7 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_5 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_5_rt_1450 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_5_rt1_1451 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f76 ) + ); + MUXF8 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_2_f8_5 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f76 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f76 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<4> ) + ); + MUXF7 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_6 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_6_rt_1452 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_6_rt1_1453 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f77 ) + ); + MUXF7 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_6 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_6_rt_1454 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_6_rt1_1455 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f77 ) + ); + MUXF8 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_2_f8_6 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f77 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f77 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<5> ) + ); + MUXF7 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_7 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_7_rt_1456 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_7_rt1_1457 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f78 ) + ); + MUXF7 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_7 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_7_rt_1458 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_7_rt1_1459 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f78 ) + ); + MUXF8 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_2_f8_7 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f78 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f78 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<6> ) + ); + MUXF7 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_8 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_8_rt_1460 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_8_rt1_1461 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f79 ) + ); + MUXF7 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_8 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_8_rt_1462 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_8_rt1_1463 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f79 ) + ); + MUXF8 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_2_f8_8 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f79 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f79 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<7> ) + ); + MUXF7 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_9 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_9_rt_1464 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_9_rt1_1465 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f710 ) + ); + MUXF7 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_9 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_9_rt_1466 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_9_rt1_1467 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f710 ) + ); + MUXF8 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_2_f8_9 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f710 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f710 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<8> ) + ); + MUXF7 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_10 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_10_rt_1468 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_10_rt1_1469 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f711 ) + ); + MUXF7 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_10 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_10_rt_1470 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_10_rt1_1471 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f711 ) + ); + MUXF8 \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_2_f8_10 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f711 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f711 ), + .S(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<9> ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd1 ( + .C(Clk), + .D(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd1-In ), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd1_463 ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd2 ( + .C(Clk), + .D(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd2-In ), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd2_462 ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[31].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/dlmb_Sl_DBus[32] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[30].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/dlmb_Sl_DBus[33] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[29].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/dlmb_Sl_DBus[34] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[28].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/dlmb_Sl_DBus[35] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[27].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/dlmb_Sl_DBus[36] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[26].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/dlmb_Sl_DBus[37] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[25].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/dlmb_Sl_DBus[38] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[24].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/dlmb_Sl_DBus[39] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[23].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/dlmb_Sl_DBus[40] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[22].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/dlmb_Sl_DBus[41] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[21].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/dlmb_Sl_DBus[42] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[20].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/dlmb_Sl_DBus[43] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[19].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/dlmb_Sl_DBus[44] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[18].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/dlmb_Sl_DBus[45] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[17].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/dlmb_Sl_DBus[46] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[16].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/dlmb_Sl_DBus[47] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[15].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/dlmb_Sl_DBus[48] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[14].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/dlmb_Sl_DBus[49] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[13].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/dlmb_Sl_DBus[50] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[12].fdr_i ( + .C(Clk), + .D(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.mux_res<0> [2]), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intc_cipr[12] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[11].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/dlmb_Sl_DBus[52] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[10].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/dlmb_Sl_DBus[53] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[9].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/dlmb_Sl_DBus[54] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[8].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/dlmb_Sl_DBus[55] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[7].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intc_cipr[7] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[6].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intc_cipr[6] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[5].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intc_cipr[5] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[4].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intc_cipr[4] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[3].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intc_cipr[3] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[2].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intc_cipr[2] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[1].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intc_cipr[1] ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr_rd_dff_all[0].fdr_i ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .R(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intc_cipr[0] ) + ); + FD \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i_11 ( + .C(Clk), + .D(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<11> ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [11]) + ); + FD \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i_10 ( + .C(Clk), + .D(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<10> ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [10]) + ); + FD \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i_9 ( + .C(Clk), + .D(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<9> ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [9]) + ); + FD \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i_8 ( + .C(Clk), + .D(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<8> ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [8]) + ); + FD \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i_7 ( + .C(Clk), + .D(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<7> ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [7]) + ); + FD \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i_6 ( + .C(Clk), + .D(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<6> ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [6]) + ); + FD \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i_5 ( + .C(Clk), + .D(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<5> ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [5]) + ); + FD \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i_4 ( + .C(Clk), + .D(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<4> ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [4]) + ); + FD \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i_3 ( + .C(Clk), + .D(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<3> ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [3]) + ); + FD \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i_2 ( + .C(Clk), + .D(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<2> ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [2]) + ); + FD \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i_1 ( + .C(Clk), + .D(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<1> ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [1]) + ); + FD \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i_0 ( + .C(Clk), + .D(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT<0> ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [0]) + ); + FDR \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.do_fast_ack ( + .C(Clk), + .D(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state[1]_GND_4363_o_Mux_21_o ), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.do_fast_ack_464 ) + ); + FDR \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/INTC_IRQ ( + .C(Clk), + .D(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd2-In3 ), + .R(\U0/LMB_Rst_61 ), + .Q(\NlwRenamedSig_OI_U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/INTC_IRQ ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0_11 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0297_inv ), + .D(\U0/iomodule_0/write_data [13]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0 [11]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0_10 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0297_inv ), + .D(\U0/iomodule_0/write_data [12]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0 [10]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0_9 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0297_inv ), + .D(\U0/iomodule_0/write_data [11]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0 [9]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0_8 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0297_inv ), + .D(\U0/iomodule_0/write_data [10]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0 [8]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0_7 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0297_inv ), + .D(\U0/iomodule_0/write_data [9]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0 [7]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0_6 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0297_inv ), + .D(\U0/iomodule_0/write_data [8]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0 [6]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0_5 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0297_inv ), + .D(\U0/iomodule_0/write_data [7]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0 [5]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0_4 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0297_inv ), + .D(\U0/iomodule_0/write_data [6]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0 [4]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0_3 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0297_inv ), + .D(\U0/iomodule_0/write_data [5]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0 [3]) + ); + FDE #( + .INIT ( 1'b1 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0_2 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0297_inv ), + .D(\U0/iomodule_0/write_data [4]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0 [2]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0_1 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0297_inv ), + .D(\U0/iomodule_0/write_data [3]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0 [1]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0_0 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0297_inv ), + .D(\U0/iomodule_0/write_data [2]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0 [0]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4_11 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0281_inv ), + .D(\U0/iomodule_0/write_data [13]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4 [11]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4_10 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0281_inv ), + .D(\U0/iomodule_0/write_data [12]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4 [10]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4_9 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0281_inv ), + .D(\U0/iomodule_0/write_data [11]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4 [9]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4_8 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0281_inv ), + .D(\U0/iomodule_0/write_data [10]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4 [8]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4_7 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0281_inv ), + .D(\U0/iomodule_0/write_data [9]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4 [7]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4_6 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0281_inv ), + .D(\U0/iomodule_0/write_data [8]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4 [6]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4_5 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0281_inv ), + .D(\U0/iomodule_0/write_data [7]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4 [5]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4_4 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0281_inv ), + .D(\U0/iomodule_0/write_data [6]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4 [4]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4_3 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0281_inv ), + .D(\U0/iomodule_0/write_data [5]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4 [3]) + ); + FDE #( + .INIT ( 1'b1 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4_2 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0281_inv ), + .D(\U0/iomodule_0/write_data [4]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4 [2]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4_1 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0281_inv ), + .D(\U0/iomodule_0/write_data [3]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4 [1]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4_0 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0281_inv ), + .D(\U0/iomodule_0/write_data [2]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4 [0]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8_11 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0265_inv ), + .D(\U0/iomodule_0/write_data [13]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8 [11]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8_10 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0265_inv ), + .D(\U0/iomodule_0/write_data [12]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8 [10]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8_9 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0265_inv ), + .D(\U0/iomodule_0/write_data [11]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8 [9]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8_8 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0265_inv ), + .D(\U0/iomodule_0/write_data [10]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8 [8]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8_7 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0265_inv ), + .D(\U0/iomodule_0/write_data [9]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8 [7]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8_6 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0265_inv ), + .D(\U0/iomodule_0/write_data [8]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8 [6]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8_5 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0265_inv ), + .D(\U0/iomodule_0/write_data [7]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8 [5]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8_4 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0265_inv ), + .D(\U0/iomodule_0/write_data [6]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8 [4]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8_3 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0265_inv ), + .D(\U0/iomodule_0/write_data [5]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8 [3]) + ); + FDE #( + .INIT ( 1'b1 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8_2 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0265_inv ), + .D(\U0/iomodule_0/write_data [4]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8 [2]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8_1 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0265_inv ), + .D(\U0/iomodule_0/write_data [3]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8 [1]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8_0 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0265_inv ), + .D(\U0/iomodule_0/write_data [2]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8 [0]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12_11 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0249_inv ), + .D(\U0/iomodule_0/write_data [13]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12 [11]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12_10 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0249_inv ), + .D(\U0/iomodule_0/write_data [12]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12 [10]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12_9 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0249_inv ), + .D(\U0/iomodule_0/write_data [11]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12 [9]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12_8 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0249_inv ), + .D(\U0/iomodule_0/write_data [10]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12 [8]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12_7 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0249_inv ), + .D(\U0/iomodule_0/write_data [9]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12 [7]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12_6 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0249_inv ), + .D(\U0/iomodule_0/write_data [8]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12 [6]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12_5 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0249_inv ), + .D(\U0/iomodule_0/write_data [7]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12 [5]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12_4 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0249_inv ), + .D(\U0/iomodule_0/write_data [6]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12 [4]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12_3 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0249_inv ), + .D(\U0/iomodule_0/write_data [5]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12 [3]) + ); + FDE #( + .INIT ( 1'b1 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12_2 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0249_inv ), + .D(\U0/iomodule_0/write_data [4]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12 [2]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12_1 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0249_inv ), + .D(\U0/iomodule_0/write_data [3]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12 [1]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12_0 ( + .C(Clk), + .CE(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0249_inv ), + .D(\U0/iomodule_0/write_data [2]), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12 [0]) + ); + FD #( + .INIT ( 1'b1 )) + \U0/microblaze_I/MicroBlaze_Core_I/sync_reset ( + .C(Clk), + .D(\U0/ilmb_cntlr/Sl_Rdy_inv ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[31].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [31]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [31]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [31]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[31].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [31]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[31].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [31]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[30].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [30]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [30]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [30]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[30].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [30]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[30].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [30]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[29].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [29]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [29]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [29]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[29].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [29]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[29].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [29]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[28].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [28]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [28]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [28]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[28].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [28]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[28].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [28]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[27].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [27]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [27]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [27]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[27].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [27]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[27].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [27]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[26].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [26]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [26]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [26]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[26].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [26]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[26].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [26]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[25].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [25]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [25]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [25]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[25].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [25]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[25].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [25]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[24].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [24]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [24]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [24]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[24].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [24]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[24].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [24]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[23].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [23]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [23]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [23]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[23].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [23]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[23].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [23]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[22].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [22]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [22]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [22]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[22].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [22]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[22].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [22]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[21].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [21]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [21]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [21]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[21].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [21]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[21].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [21]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[20].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [20]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [20]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [20]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[20].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [20]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[20].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [20]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[19].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [19]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [19]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [19]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[19].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [19]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[19].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [19]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[18].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [18]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [18]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [18]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[18].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [18]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[18].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [18]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[17].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [17]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [17]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [17]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[17].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [17]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[17].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [17]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[16].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [16]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [16]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [16]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[16].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [16]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[16].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [16]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[15].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [15]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [15]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [15]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[15].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [15]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[15].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [15]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[14].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [14]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [14]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [14]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[14].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [14]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[14].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [14]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[13].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [13]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [13]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [13]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[13].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [13]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[13].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [13]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[12].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [12]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [12]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [12]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[12].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [12]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[12].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [12]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[11].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [11]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [11]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [11]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[11].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [11]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[11].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [11]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[10].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [10]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [10]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [10]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[10].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [10]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[10].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [10]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[9].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [9]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [9]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [9]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[9].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [9]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[9].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [9]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[8].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [8]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [8]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [8]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[8].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [8]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[8].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [8]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[7].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [7]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [7]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [7]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[7].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [7]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[7].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [7]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[6].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [6]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [6]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [6]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[6].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [6]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[6].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [6]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[5].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [5]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [5]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [5]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[5].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [5]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[5].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [5]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[4].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [4]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [4]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [4]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[4].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [4]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[4].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [4]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[3].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [3]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [3]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [3]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[3].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [3]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[3].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [3]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[2].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [2]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [2]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [2]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[2].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [2]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[2].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [2]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[1].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [1]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [1]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [1]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[1].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [1]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[1].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [1]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[0].Register_File_Bit_I/Using_LUT6.RegFile_X1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [0]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [0]), + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [0]) + ); + RAM32X1D #( + .INIT ( 32'h00000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[0].Register_File_Bit_I/Using_LUT6.RegFile_X2 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .A3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .A4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [0]), + .DPRA0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .DPRA1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .DPRA2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .DPRA3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .DPRA4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .WCLK(Clk), + .WE(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ), + .SPO +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Register_File_I/Using_FPGA.Gen_RegFile[0].Register_File_Bit_I/Using_LUT6.RegFile_X2_SPO_UNCONNECTED ) +, + .DPO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [0]) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[15].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[15].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [15]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[15].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[15].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [15]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[15].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[15].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [15]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[15].Operand_Select_Bit_I/Using_LUT6_1.Only_PC.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [15]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [15]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[15].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[15].Operand_Select_Bit_I/op1_Reg ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[14].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[14].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [14]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[14].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[14].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [14]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[14].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[14].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [14]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[14].Operand_Select_Bit_I/Using_LUT6_1.Only_PC.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [14]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [14]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[14].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[14].Operand_Select_Bit_I/op1_Reg ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[13].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[13].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [13]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[13].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[13].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [13]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[13].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[13].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [13]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[13].Operand_Select_Bit_I/Using_LUT6_1.Only_PC.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [13]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [13]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[13].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[13].Operand_Select_Bit_I/op1_Reg ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[12].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[12].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [12]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[12].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[12].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [12]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[12].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[12].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [12]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[12].Operand_Select_Bit_I/Using_LUT6_1.Only_PC.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [12]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [12]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[12].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[12].Operand_Select_Bit_I/op1_Reg ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[11].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[11].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [11]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[11].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[11].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [11]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[11].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[11].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [11]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[11].Operand_Select_Bit_I/Using_LUT6_1.Only_PC.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [11]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [11]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[11].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[11].Operand_Select_Bit_I/op1_Reg ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [10]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [10]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [10]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Using_LUT6_1.Only_PC.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [10]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [10]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/op1_Reg ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[9].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[9].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [9]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[9].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[9].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [9]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[9].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[9].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [9]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[9].Operand_Select_Bit_I/Using_LUT6_1.Only_PC.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [9]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [9]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[9].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[9].Operand_Select_Bit_I/op1_Reg ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[8].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[8].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [8]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[8].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[8].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [8]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[8].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[8].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [8]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[8].Operand_Select_Bit_I/Using_LUT6_1.Only_PC.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [8]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [8]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[8].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[8].Operand_Select_Bit_I/op1_Reg ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[7].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[7].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [7]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[7].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[7].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [7]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[7].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[7].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [7]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[7].Operand_Select_Bit_I/Using_LUT6_1.Only_PC.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [7]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [7]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[7].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[7].Operand_Select_Bit_I/op1_Reg ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[6].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[6].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [6]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[6].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[6].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [6]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[6].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[6].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [6]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[6].Operand_Select_Bit_I/Using_LUT6_1.Only_PC.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [6]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [6]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[6].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[6].Operand_Select_Bit_I/op1_Reg ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[5].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[5].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [5]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[5].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[5].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [5]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[5].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[5].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [5]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[5].Operand_Select_Bit_I/Using_LUT6_1.Only_PC.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [5]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [5]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[5].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[5].Operand_Select_Bit_I/op1_Reg ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[4].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[4].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [4]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[4].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[4].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [4]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[4].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[4].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [4]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[4].Operand_Select_Bit_I/Using_LUT6_1.Only_PC.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [4]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [4]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[4].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[4].Operand_Select_Bit_I/op1_Reg ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[3].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[3].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [3]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[3].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[3].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [3]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[3].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[3].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [3]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[3].Operand_Select_Bit_I/Using_LUT6_1.Only_PC.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [3]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [3]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[3].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[3].Operand_Select_Bit_I/op1_Reg ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[2].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[2].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [2]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[2].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[2].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [2]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[2].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[2].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [2]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[2].Operand_Select_Bit_I/Using_LUT6_1.Only_PC.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [2]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [2]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[2].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[2].Operand_Select_Bit_I/op1_Reg ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[1].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[1].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [1]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[1].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[1].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [1]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[1].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[1].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [1]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[1].Operand_Select_Bit_I/Using_LUT6_1.Only_PC.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [1]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[1].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[1].Operand_Select_Bit_I/op1_Reg ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[23].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[23].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [23]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[23].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[23].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [23]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[23].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[23].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [23]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[23].Operand_Select_Bit_I/Using_LUT6_1.Only_PC.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [23]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [23]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [23]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[23].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[23].Operand_Select_Bit_I/op1_Reg ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[22].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[22].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [22]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[22].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[22].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [22]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[22].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[22].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [22]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[22].Operand_Select_Bit_I/Using_LUT6_1.Only_PC.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [22]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [22]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [22]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[22].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[22].Operand_Select_Bit_I/op1_Reg ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[21].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[21].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [21]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[21].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[21].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [21]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[21].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[21].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [21]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[21].Operand_Select_Bit_I/Using_LUT6_1.Only_PC.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [21]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [21]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [21]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[21].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[21].Operand_Select_Bit_I/op1_Reg ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[20].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[20].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [20]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[20].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[20].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [20]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[20].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[20].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [20]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[20].Operand_Select_Bit_I/Using_LUT6_1.Only_PC.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [20]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [20]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [20]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[20].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[20].Operand_Select_Bit_I/op1_Reg ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[19].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[19].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [19]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[19].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[19].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [19]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[19].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[19].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [19]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[19].Operand_Select_Bit_I/Using_LUT6_1.Only_PC.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [19]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [19]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [19]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[19].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[19].Operand_Select_Bit_I/op1_Reg ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[18].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[18].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [18]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[18].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[18].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [18]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[18].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[18].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [18]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[18].Operand_Select_Bit_I/Using_LUT6_1.Only_PC.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [18]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [18]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [18]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[18].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[18].Operand_Select_Bit_I/op1_Reg ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[17].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[17].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [17]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[17].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[17].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [17]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[17].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[17].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [17]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[17].Operand_Select_Bit_I/Using_LUT6_1.Only_PC.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [17]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [17]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[17].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[17].Operand_Select_Bit_I/op1_Reg ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[16].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[16].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [16]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[16].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[16].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [16]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[16].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[16].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [16]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[16].Operand_Select_Bit_I/Using_LUT6_1.Only_PC.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [16]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [16]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[16].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[16].Operand_Select_Bit_I/op1_Reg ) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg_0 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Instr ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [0]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg_1 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Instr ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [1]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg_2 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Instr ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [2]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg_3 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Instr ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [3]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg_4 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Instr ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [4]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg_5 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Instr ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [5]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [5]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg_6 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Instr ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [6]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [6]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg_7 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Instr ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [7]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [7]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg_8 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Instr ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [8]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [8]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg_9 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Instr ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [9]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [9]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg_10 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Instr ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [10]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [10]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg_11 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Instr ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [11]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [11]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg_12 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Instr ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [12]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [12]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg_13 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Instr ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [13]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [13]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg_14 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Instr ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [14]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [14]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg_15 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Instr ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [15]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [15]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[24].Operand_Select_Bit_I/Using_LUT6_1.Both_PC_and_MSR.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [24]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [24]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[24].Operand_Select_Bit_I/op1_SPR ), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[24].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[24].Operand_Select_Bit_I/op1_Reg ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[24].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[24].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [24]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[24].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[24].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [24]) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[24].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[24].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [24]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[25].Operand_Select_Bit_I/Using_LUT6_1.Both_PC_and_MSR.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [25]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [25]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[25].Operand_Select_Bit_I/op1_SPR ), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[25].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[25].Operand_Select_Bit_I/op1_Reg ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[25].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[25].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [25]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[25].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[25].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [25]) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[25].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[25].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [25]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[29].Operand_Select_Bit_I/Using_LUT6_1.Both_PC_and_MSR.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [29]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [29]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[29].Operand_Select_Bit_I/op1_SPR ), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[29].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[29].Operand_Select_Bit_I/op1_Reg ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[29].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[29].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [29]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[29].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[29].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [29]) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[29].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[29].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/op1_shift[29] ) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[30].Operand_Select_Bit_I/Using_LUT6_1.Both_PC_and_MSR.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [30]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [30]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[30].Operand_Select_Bit_I/op1_SPR ), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[30].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[30].Operand_Select_Bit_I/op1_Reg ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[30].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[30].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [30]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[30].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[30].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [30]) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[30].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[30].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Op1_Low [0]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[31].Operand_Select_Bit_I/Using_LUT6_1.Both_PC_and_MSR.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [31]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [31]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[31].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[31].Operand_Select_Bit_I/op1_Reg ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[31].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[31].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [31]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[31].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[31].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [31]) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[31].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[31].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Op1_Low [1]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[28].Operand_Select_Bit_I/Using_LUT6_1.Both_PC_and_MSR.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [28]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [28]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[28].Operand_Select_Bit_I/op1_SPR ), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[28].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[28].Operand_Select_Bit_I/op1_Reg ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[28].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[28].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [28]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[28].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[28].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [28]) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[28].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[28].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [28]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[27].Operand_Select_Bit_I/Using_LUT6_1.Both_PC_and_MSR.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [27]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [27]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[27].Operand_Select_Bit_I/op1_SPR ), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[27].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[27].Operand_Select_Bit_I/op1_Reg ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[27].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[27].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [27]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[27].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[27].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [27]) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[27].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[27].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [27]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[26].Operand_Select_Bit_I/Using_LUT6_1.Both_PC_and_MSR.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [26]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [26]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[26].Operand_Select_Bit_I/op1_SPR ), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[26].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[26].Operand_Select_Bit_I/op1_Reg ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[26].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[26].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [26]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[26].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[26].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [26]) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[26].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[26].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [26]) + ); + LUT6_2 #( + .INIT ( 64'hFF00FF00CACACACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[0].Operand_Select_Bit_I/Using_LUT6_1.Both_PC_and_MSR.Op1_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1_Data [0]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[0].Operand_Select_Bit_I/op1_SPR ), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[0].Operand_Select_Bit_I/op1_I ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[0].Operand_Select_Bit_I/op1_Reg ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[0].Operand_Select_Bit_I/Op2_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[0].Operand_Select_Bit_I/op2_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [0]) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[0].Operand_Select_Bit_I/Op1_Reg_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[0].Operand_Select_Bit_I/op1_Reg ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_neg ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[0].Operand_Select_Bit_I/Op1_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[0].Operand_Select_Bit_I/op1_I ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/op1_shift[0] ) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[31].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [31]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Op1_Low [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[31].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[31].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[31].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [32]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[31].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[31].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [31]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[31].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [32]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[31].ALU_Bit_I1/alu_AddSub ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/alu_Result [31]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[30].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [30]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Op1_Low [0]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[30].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[30].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[30].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [31]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[30].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[30].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [30]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[30].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [31]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[30].ALU_Bit_I1/alu_AddSub ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/alu_Result [30]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[29].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [29]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/op1_shift[29] ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[29].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[29].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[29].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [30]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[29].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[29].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [29]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[29].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [30]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[29].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [29]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[28].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [28]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [28]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[28].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[28].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[28].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [29]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[28].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[28].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [28]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[28].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [29]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[28].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [28]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[27].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [27]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [27]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[27].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[27].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[27].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [28]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[27].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[27].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [27]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[27].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [28]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[27].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [27]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[26].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [26]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [26]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[26].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[26].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[26].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [27]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[26].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[26].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [26]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[26].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [27]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[26].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [26]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[25].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [25]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [25]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[25].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[25].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[25].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [26]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[25].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[25].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [25]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[25].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [26]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[25].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [25]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[24].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [24]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [24]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[24].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[24].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[24].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [25]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[24].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[24].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [24]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[24].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [25]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[24].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [24]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[23].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [23]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [23]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[23].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[23].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[23].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [24]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[23].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[23].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [23]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[23].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [24]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[23].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [23]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[22].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [22]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [22]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[22].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[22].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[22].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [23]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[22].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[22].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [22]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[22].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [23]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[22].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [22]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[21].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [21]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [21]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[21].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[21].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[21].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [22]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[21].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[21].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [21]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[21].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [22]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[21].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [21]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[20].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [20]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [20]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[20].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[20].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[20].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [21]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[20].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[20].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [20]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[20].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [21]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[20].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [20]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[19].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [19]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [19]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[19].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[19].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[19].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [20]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[19].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[19].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [19]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[19].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [20]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[19].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [19]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[18].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [18]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [18]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[18].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[18].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[18].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [19]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[18].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[18].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [18]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[18].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [19]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[18].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [18]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[17].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [17]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [17]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[17].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[17].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[17].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [18]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[17].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[17].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [17]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[17].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [18]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[17].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [17]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[16].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [16]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [16]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[16].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[16].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[16].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [17]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[16].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[16].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [16]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[16].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [17]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[16].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [16]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[15].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [15]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [15]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[15].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[15].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[15].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [16]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[15].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[15].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [15]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[15].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [16]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[15].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [15]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[14].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [14]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [14]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[14].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[14].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[14].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [15]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[14].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[14].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [14]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[14].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [15]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[14].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [14]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[13].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [13]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [13]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[13].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[13].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[13].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [14]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[13].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[13].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [13]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[13].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [14]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[13].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [13]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[12].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [12]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [12]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[12].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[12].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[12].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [13]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[12].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[12].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [12]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[12].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [13]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[12].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [12]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[11].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [11]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [11]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[11].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[11].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[11].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [12]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[11].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[11].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [11]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[11].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [12]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[11].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [11]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[10].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [10]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [10]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[10].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[10].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[10].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [11]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[10].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[10].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [10]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[10].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [11]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[10].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [10]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[9].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [9]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [9]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[9].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[9].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[9].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [10]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[9].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[9].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [9]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[9].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [10]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[9].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [9]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[8].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [8]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [8]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[8].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[8].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[8].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [9]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[8].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[8].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [8]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[8].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [9]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[8].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [8]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[7].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [7]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [7]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[7].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[7].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[7].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [8]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[7].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[7].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [7]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[7].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [8]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[7].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [7]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[6].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [6]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [6]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[6].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[6].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[6].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [7]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[6].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[6].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [6]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[6].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [7]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[6].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [6]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[5].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [5]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [5]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[5].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[5].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[5].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [6]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[5].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[5].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [5]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[5].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [6]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[5].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [5]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[4].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [4]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [4]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[4].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[4].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[4].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [5]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[4].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[4].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [4]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[4].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [5]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[4].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [4]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[3].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [3]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [3]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[3].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[3].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[3].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [4]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[3].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[3].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [3]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[3].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [4]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[3].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [3]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[2].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [2]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [2]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[2].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[2].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[2].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [3]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[2].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[2].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [2]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[2].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [3]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[2].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [2]) + ); + LUT6_2 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[1].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[1].ALU_Bit_I1/alu_AddSub ), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[1].ALU_Bit_I1/op2_is_1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[1].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [2]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[1].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[1].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [1]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[1].ALU_Bit_I1/Using_FPGA_LUT6.Not_Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [2]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[1].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [1]) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.No_Carry_Decoding.CarryIn_MUXCY ( + .CI(NlwRenamedSig_OI_GPI3_Interrupt), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.No_Carry_Decoding.EX_CarryIn_I ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.No_Carry_Decoding.control_carry ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [32]) + ); + MULT_AND \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.No_Carry_Decoding.MULT_AND_I ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.carry_In ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.carry_In ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.No_Carry_Decoding.EX_CarryIn_I ) + ); + LUT3 #( + .INIT ( 8'h00 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.No_Carry_Decoding.alu_carry_select_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.carry_In ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.carry_In ), + .I2(\U0/ilmb_cntlr/lmb_select ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.No_Carry_Decoding.control_carry ) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[0].ALU_Bit_I1/Using_FPGA_LUT6.Last_Bit.XOR_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[0].ALU_Bit_I1/Using_FPGA_LUT6.Last_Bit.invert_result ), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[0].ALU_Bit_I1/alu_AddSub ), + .O(\U0/dlmb_M_ABus [0]) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[0].ALU_Bit_I1/Using_FPGA_LUT6.Last_Bit.MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[0].ALU_Bit_I1/Using_FPGA_LUT6.Last_Bit.invert_result ), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[0].ALU_Bit_I1/op2_is_1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[0].ALU_Bit_I1/alu_AddSub ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Carry ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[0].ALU_Bit_I1/Using_FPGA_LUT6.Last_Bit.Pre_MUXCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.alu_carry [1]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Unsigned_Op_497 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[0].ALU_Bit_I1/Using_FPGA_LUT6.Last_Bit.maintain_sign_n ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[0].ALU_Bit_I1/Using_FPGA_LUT6.Last_Bit.invert_result ) + ); + MULT_AND \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[0].ALU_Bit_I1/Using_FPGA_LUT6.Last_Bit.MULT_AND_I ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [0]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[0].ALU_Bit_I1/op2_is_1 ) + ); + LUT4 #( + .INIT ( 16'hFA0A )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[0].ALU_Bit_I1/Using_FPGA_LUT6.Last_Bit.I_ALU_LUT_2 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [0]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[0].ALU_Bit_I1/Using_FPGA_LUT6.Last_Bit.maintain_sign_n ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[0].ALU_Bit_I1/Using_FPGA_LUT6.Last_Bit.alu_AddSub_1 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[0].ALU_Bit_I1/alu_AddSub ) + ); + LUT6 #( + .INIT ( 64'h607AA67800008888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[0].ALU_Bit_I1/Using_FPGA_LUT6.Last_Bit.I_ALU_LUT_V5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [0]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/op1_shift[0] ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[0].ALU_Bit_I1/Using_FPGA_LUT6.Last_Bit.alu_AddSub_1 ) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[31].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [31]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Op1_Low [1]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[31].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[31].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Op1_Low [0]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Op1_Low [1]), + .I2(NlwRenamedSig_OI_GPI3_Interrupt), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[31].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[31].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[31].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[31].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [31]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[30].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [30]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Op1_Low [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[30].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[30].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/op1_shift[29] ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Op1_Low [0]), + .I2(NlwRenamedSig_OI_GPI3_Interrupt), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[30].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[30].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[30].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[30].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [30]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[29].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [29]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/op1_shift[29] ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[29].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[29].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [28]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/op1_shift[29] ), + .I2(NlwRenamedSig_OI_GPI3_Interrupt), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[29].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[29].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[29].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[29].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [29]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[28].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [28]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [28]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[28].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[28].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [27]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [28]), + .I2(NlwRenamedSig_OI_GPI3_Interrupt), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[28].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[28].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[28].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[28].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [28]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[27].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [27]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [27]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[27].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[27].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [26]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [27]), + .I2(NlwRenamedSig_OI_GPI3_Interrupt), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[27].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[27].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[27].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[27].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [27]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[26].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [26]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [26]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[26].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[26].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [25]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [26]), + .I2(NlwRenamedSig_OI_GPI3_Interrupt), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[26].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[26].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[26].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[26].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [26]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[25].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [25]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [25]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[25].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[25].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [24]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [25]), + .I2(NlwRenamedSig_OI_GPI3_Interrupt), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[25].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[25].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[25].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[25].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [25]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[24].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [24]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [24]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[24].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[24].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [23]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [24]), + .I2(NlwRenamedSig_OI_GPI3_Interrupt), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[24].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[24].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[24].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[24].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [24]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[23].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [23]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [23]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[23].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[23].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [22]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [23]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext<0>1 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[23].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[23].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[23].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[23].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [23]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[22].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [22]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [22]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[22].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[22].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [21]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [22]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext<0>1 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[22].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[22].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[22].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[22].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [22]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[21].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [21]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [21]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[21].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[21].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [20]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [21]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext<0>1 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[21].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[21].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[21].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[21].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [21]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[20].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [20]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [20]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[20].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[20].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [19]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [20]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext<0>1 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[20].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[20].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[20].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[20].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [20]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[19].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [19]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [19]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[19].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[19].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [18]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [19]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext<0>1 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[19].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[19].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[19].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[19].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [19]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[18].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [18]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [18]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[18].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[18].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [17]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [18]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext<0>1 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[18].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[18].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[18].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[18].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [18]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[17].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [17]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [17]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[17].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[17].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [16]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [17]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext<0>1 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[17].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[17].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[17].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[17].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [17]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[16].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [16]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [16]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[16].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[16].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [15]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [16]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext<0>1 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[16].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[16].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[16].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[16].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [16]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[15].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [15]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [15]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[15].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[15].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [14]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [15]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext [0]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[15].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[15].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[15].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[15].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [15]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[14].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [14]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [14]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[14].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[14].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [13]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [14]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext [0]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[14].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[14].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[14].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[14].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [14]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[13].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [13]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [13]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[13].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[13].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [12]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [13]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext [0]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[13].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[13].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[13].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[13].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [13]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[12].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [12]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [12]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[12].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[12].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [11]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [12]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext [0]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[12].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[12].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[12].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[12].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [12]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[11].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [11]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [11]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[11].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[11].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [10]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [11]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext [0]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[11].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[11].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[11].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[11].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [11]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[10].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [10]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [10]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[10].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[10].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [9]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [10]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext [0]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[10].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[10].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[10].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[10].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [10]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[9].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [9]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [9]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[9].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[9].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [8]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [9]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext [0]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[9].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[9].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[9].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[9].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [9]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[8].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [8]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [8]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[8].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[8].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [7]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [8]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext [0]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[8].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[8].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[8].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[8].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [8]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[7].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [7]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [7]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[7].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[7].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [6]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [7]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext [0]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[7].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[7].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[7].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[7].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [7]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[6].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [6]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [6]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[6].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[6].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [5]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [6]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext [0]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[6].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[6].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[6].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[6].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [6]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[5].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [5]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [5]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[5].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[5].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [4]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [5]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext [0]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[5].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[5].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[5].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[5].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [5]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[4].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [4]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [4]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[4].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[4].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [3]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [4]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext [0]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[4].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[4].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[4].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[4].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [4]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[3].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [3]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [3]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[3].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[3].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [2]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [3]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext [0]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[3].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[3].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[3].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[3].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [3]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[2].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [2]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [2]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[2].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[2].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [2]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext [0]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[2].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[2].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[2].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[2].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [2]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[1].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [1]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[1].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[1].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/op1_shift[0] ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [1]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext [0]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[1].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[1].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[1].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[1].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [1]) + ); + LUT4 #( + .INIT ( 16'h468E )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[0].Shift_Logic_Bit_I/Logic_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [0]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/op1_shift[0] ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[0].Shift_Logic_Bit_I/logic_Res_i ) + ); + LUT4 #( + .INIT ( 16'hFCAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[0].Shift_Logic_Bit_I/Shift_LUT ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/msb ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/op1_shift[0] ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext [0]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[0].Shift_Logic_Bit_I/shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[0].Shift_Logic_Bit_I/Shift_Logic_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[0].Shift_Logic_Bit_I/shift_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Using_FPGA.Shift_Logic_Bits[0].Shift_Logic_Bit_I/logic_Res_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [0]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[31].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/alu_Result [31]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[31].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[31].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [31]), + .I2(\U0/ilmb_cntlr/lmb_select ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [31]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[31].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[31].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[31].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[31].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [31]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[30].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/alu_Result [30]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[30].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[30].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [30]), + .I2(\U0/ilmb_cntlr/lmb_select ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [30]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[30].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[30].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[30].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[30].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [30]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[29].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [29]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[29].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[29].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [29]), + .I2(\U0/ilmb_cntlr/lmb_select ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [29]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[29].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[29].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[29].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[29].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [29]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[28].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [28]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[28].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[28].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [28]), + .I2(\U0/ilmb_cntlr/lmb_select ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [28]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[28].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[28].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[28].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[28].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [28]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[27].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [27]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[27].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[27].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [27]), + .I2(\U0/ilmb_cntlr/lmb_select ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [27]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[27].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[27].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[27].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[27].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [27]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[26].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [26]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[26].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[26].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [26]), + .I2(\U0/ilmb_cntlr/lmb_select ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [26]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[26].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[26].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[26].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[26].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [26]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[25].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [25]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[25].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[25].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [25]), + .I2(\U0/ilmb_cntlr/lmb_select ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [25]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[25].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[25].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[25].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[25].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [25]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[24].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [24]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[24].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[24].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [24]), + .I2(\U0/ilmb_cntlr/lmb_select ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [24]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[24].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[24].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[24].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[24].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [24]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[23].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [23]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[23].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[23].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [23]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/data_Read_Mask [16]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [23]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[23].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[23].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[23].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[23].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [23]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[22].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [22]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[22].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[22].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [22]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/data_Read_Mask [16]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [22]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[22].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[22].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[22].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[22].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [22]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[21].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [21]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[21].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[21].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [21]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/data_Read_Mask [16]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [21]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[21].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[21].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[21].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[21].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [21]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[20].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [20]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[20].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[20].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [20]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/data_Read_Mask [16]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [20]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[20].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[20].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[20].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[20].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [20]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[19].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [19]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[19].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[19].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [19]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/data_Read_Mask [16]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [19]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[19].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[19].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[19].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[19].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [19]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[18].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [18]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[18].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[18].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [18]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/data_Read_Mask [16]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [18]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[18].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[18].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[18].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[18].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [18]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[17].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [17]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[17].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[17].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [17]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/data_Read_Mask [16]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [17]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[17].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[17].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[17].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[17].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [17]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[16].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [16]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[16].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[16].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [16]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/data_Read_Mask [16]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [16]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[16].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[16].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[16].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[16].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [16]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[15].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [15]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[15].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[15].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [15]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/DATA_SIZE_gt_8.DATA_SIZE_gt_16.Mask_DOUBLET_MSB.Upper_extend [0]), + .I3(\U0/dlmb_LMB_ReadDBus [15]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[15].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[15].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[15].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[15].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [15]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[14].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [14]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[14].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[14].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [14]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/DATA_SIZE_gt_8.DATA_SIZE_gt_16.Mask_DOUBLET_MSB.Upper_extend [0]), + .I3(\U0/dlmb_LMB_ReadDBus [14]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[14].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[14].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[14].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[14].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [14]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[13].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [13]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[13].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[13].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [13]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/DATA_SIZE_gt_8.DATA_SIZE_gt_16.Mask_DOUBLET_MSB.Upper_extend [0]), + .I3(\U0/dlmb_LMB_ReadDBus [13]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[13].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[13].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[13].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[13].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [13]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[12].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [12]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[12].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[12].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [12]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/DATA_SIZE_gt_8.DATA_SIZE_gt_16.Mask_DOUBLET_MSB.Upper_extend [0]), + .I3(\U0/dlmb_LMB_ReadDBus [12]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[12].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[12].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[12].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[12].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [12]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[11].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [11]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[11].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[11].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [11]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/DATA_SIZE_gt_8.DATA_SIZE_gt_16.Mask_DOUBLET_MSB.Upper_extend [0]), + .I3(\U0/dlmb_LMB_ReadDBus [11]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[11].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[11].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[11].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[11].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [11]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[10].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [10]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[10].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[10].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [10]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/DATA_SIZE_gt_8.DATA_SIZE_gt_16.Mask_DOUBLET_MSB.Upper_extend [0]), + .I3(\U0/dlmb_LMB_ReadDBus [10]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[10].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[10].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[10].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[10].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [10]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[9].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [9]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[9].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[9].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [9]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/DATA_SIZE_gt_8.DATA_SIZE_gt_16.Mask_DOUBLET_MSB.Upper_extend [0]), + .I3(\U0/dlmb_LMB_ReadDBus [9]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[9].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[9].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[9].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[9].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [9]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[8].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [8]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[8].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[8].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [8]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/DATA_SIZE_gt_8.DATA_SIZE_gt_16.Mask_DOUBLET_MSB.Upper_extend [0]), + .I3(\U0/dlmb_LMB_ReadDBus [8]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[8].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[8].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[8].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[8].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [8]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[7].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [7]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[7].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[7].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [7]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/DATA_SIZE_gt_8.DATA_SIZE_gt_16.Mask_DOUBLET_MSB.Upper_extend [0]), + .I3(\U0/dlmb_LMB_ReadDBus [7]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[7].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[7].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[7].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[7].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [7]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[6].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [6]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[6].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[6].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [6]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/DATA_SIZE_gt_8.DATA_SIZE_gt_16.Mask_DOUBLET_MSB.Upper_extend [0]), + .I3(\U0/dlmb_LMB_ReadDBus [6]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[6].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[6].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[6].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[6].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [6]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[5].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [5]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[5].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[5].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [5]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/DATA_SIZE_gt_8.DATA_SIZE_gt_16.Mask_DOUBLET_MSB.Upper_extend [0]), + .I3(\U0/dlmb_LMB_ReadDBus [5]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[5].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[5].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[5].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[5].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [5]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[4].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [4]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[4].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[4].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [4]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/DATA_SIZE_gt_8.DATA_SIZE_gt_16.Mask_DOUBLET_MSB.Upper_extend [0]), + .I3(\U0/dlmb_LMB_ReadDBus [4]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[4].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[4].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[4].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[4].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [4]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[3].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [3]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[3].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[3].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [3]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/DATA_SIZE_gt_8.DATA_SIZE_gt_16.Mask_DOUBLET_MSB.Upper_extend [0]), + .I3(\U0/dlmb_LMB_ReadDBus [3]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[3].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[3].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[3].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[3].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [3]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[2].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [2]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[2].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[2].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [2]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/DATA_SIZE_gt_8.DATA_SIZE_gt_16.Mask_DOUBLET_MSB.Upper_extend [0]), + .I3(\U0/dlmb_LMB_ReadDBus [2]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[2].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[2].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[2].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[2].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [2]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[1].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [1]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[1].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[1].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [1]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/DATA_SIZE_gt_8.DATA_SIZE_gt_16.Mask_DOUBLET_MSB.Upper_extend [0]), + .I3(\U0/dlmb_LMB_ReadDBus [1]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[1].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[1].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[1].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[1].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [1]) + ); + LUT4 #( + .INIT ( 16'hEFE0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[0].Result_Mux_Bit_I/Mul_ALU_Mux ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I3(\U0/dlmb_M_ABus [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[0].Result_Mux_Bit_I/mul_ALU_Res ) + ); + LUT4 #( + .INIT ( 16'hE040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[0].Result_Mux_Bit_I/Data_Shift_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Shift_Logic_Result_basic [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/DATA_SIZE_gt_8.DATA_SIZE_gt_16.Mask_DOUBLET_MSB.Upper_extend [0]), + .I3(\U0/dlmb_LMB_ReadDBus [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[0].Result_Mux_Bit_I/data_Shift_Res ) + ); + MUXF5 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[0].Result_Mux_Bit_I/Result_MUXF5 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[0].Result_Mux_Bit_I/mul_ALU_Res ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[0].Result_Mux_Bit_I/data_Shift_Res ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [0]) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[31].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [31]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[31].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[30].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [30]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[30].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[29].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [29]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[29].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[28].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [28]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[28].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[27].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [27]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[27].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[26].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [26]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[26].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[25].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [25]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[25].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[24].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [24]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[24].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[23].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [23]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[23].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[22].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [22]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[22].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[21].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [21]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[21].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[20].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [20]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[20].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[19].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [19]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[19].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[18].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [18]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[18].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[17].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [17]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[17].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[16].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [16]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[16].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[15].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [15]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[15].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[14].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [14]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[14].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[13].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [13]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[13].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[12].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [12]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[12].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[11].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [11]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[11].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[10].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [10]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[10].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[9].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [9]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[9].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[8].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [8]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[8].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[7].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [7]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[7].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[6].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [6]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[6].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[5].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [5]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[5].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[4].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [4]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[4].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[3].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [3]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[3].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[2].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [2]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[2].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[1].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [1]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[1].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[0].Result_Mux_Bit_I/EX_Result_DFF ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [0]), + .Q +(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Using_FPGA.Result_Mux_Bits[0].Result_Mux_Bit_I/EX_Result_DFF_Q_UNCONNECTED ) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[18].PC_Bit_I/PC_OF_Buffer/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[18].PC_Bit_I/pc_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [18]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[19].PC_Bit_I/PC_OF_Buffer/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[19].PC_Bit_I/pc_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [19]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[20].PC_Bit_I/PC_OF_Buffer/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[20].PC_Bit_I/pc_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [20]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[21].PC_Bit_I/PC_OF_Buffer/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[21].PC_Bit_I/pc_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [21]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[22].PC_Bit_I/PC_OF_Buffer/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[22].PC_Bit_I/pc_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [22]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[23].PC_Bit_I/PC_OF_Buffer/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[23].PC_Bit_I/pc_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [23]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[24].PC_Bit_I/PC_OF_Buffer/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[24].PC_Bit_I/pc_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [24]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[25].PC_Bit_I/PC_OF_Buffer/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[25].PC_Bit_I/pc_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [25]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[26].PC_Bit_I/PC_OF_Buffer/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[26].PC_Bit_I/pc_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [26]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[27].PC_Bit_I/PC_OF_Buffer/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[27].PC_Bit_I/pc_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [27]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[28].PC_Bit_I/PC_OF_Buffer/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[28].PC_Bit_I/pc_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [28]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[29].PC_Bit_I/PC_OF_Buffer/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[29].PC_Bit_I/pc_I ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [29]) + ); + LUT4 #( + .INIT ( 16'hF066 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[29].PC_Bit_I/SUM_I ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.pc_Incr ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[29].PC_Bit_I/pc_I ), + .I2(NlwRenamedSig_OI_GPI3_Interrupt), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[29].PC_Bit_I/xor_Sum ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[29].PC_Bit_I/MUXCY_X ( + .CI(NlwRenamedSig_OI_GPI3_Interrupt), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.pc_Incr ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[29].PC_Bit_I/xor_Sum ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [29]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[29].PC_Bit_I/XOR_X ( + .CI(NlwRenamedSig_OI_GPI3_Interrupt), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[29].PC_Bit_I/xor_Sum ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[29].PC_Bit_I/pc_Sum ) + ); + LUT4 #( + .INIT ( 16'hAACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[29].PC_Bit_I/NewPC_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[29].PC_Bit_I/pc_Sum ), + .I1(\U0/dlmb_M_ABus [29]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.jump ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/ilmb_M_ABus [29]) + ); + LUT4 #( + .INIT ( 16'hF066 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[28].PC_Bit_I/SUM_I ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[28].PC_Bit_I/pc_I ), + .I2(NlwRenamedSig_OI_GPI3_Interrupt), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[28].PC_Bit_I/xor_Sum ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[28].PC_Bit_I/MUXCY_X ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [29]), + .DI(NlwRenamedSig_OI_GPI3_Interrupt), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[28].PC_Bit_I/xor_Sum ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [28]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[28].PC_Bit_I/XOR_X ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [29]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[28].PC_Bit_I/xor_Sum ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[28].PC_Bit_I/pc_Sum ) + ); + LUT4 #( + .INIT ( 16'hAACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[28].PC_Bit_I/NewPC_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[28].PC_Bit_I/pc_Sum ), + .I1(\U0/dlmb_M_ABus [28]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.jump ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/ilmb_M_ABus [28]) + ); + LUT4 #( + .INIT ( 16'hF066 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[27].PC_Bit_I/SUM_I ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[27].PC_Bit_I/pc_I ), + .I2(NlwRenamedSig_OI_GPI3_Interrupt), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[27].PC_Bit_I/xor_Sum ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[27].PC_Bit_I/MUXCY_X ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [28]), + .DI(NlwRenamedSig_OI_GPI3_Interrupt), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[27].PC_Bit_I/xor_Sum ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [27]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[27].PC_Bit_I/XOR_X ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [28]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[27].PC_Bit_I/xor_Sum ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[27].PC_Bit_I/pc_Sum ) + ); + LUT4 #( + .INIT ( 16'hAACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[27].PC_Bit_I/NewPC_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[27].PC_Bit_I/pc_Sum ), + .I1(\U0/dlmb_M_ABus [27]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.jump ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/ilmb_M_ABus [27]) + ); + LUT4 #( + .INIT ( 16'hF066 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[26].PC_Bit_I/SUM_I ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[26].PC_Bit_I/pc_I ), + .I2(NlwRenamedSig_OI_GPI3_Interrupt), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[26].PC_Bit_I/xor_Sum ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[26].PC_Bit_I/MUXCY_X ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [27]), + .DI(NlwRenamedSig_OI_GPI3_Interrupt), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[26].PC_Bit_I/xor_Sum ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [26]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[26].PC_Bit_I/XOR_X ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [27]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[26].PC_Bit_I/xor_Sum ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[26].PC_Bit_I/pc_Sum ) + ); + LUT4 #( + .INIT ( 16'hAACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[26].PC_Bit_I/NewPC_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[26].PC_Bit_I/pc_Sum ), + .I1(\U0/dlmb_M_ABus [26]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.jump ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/ilmb_M_ABus [26]) + ); + LUT4 #( + .INIT ( 16'hF066 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[25].PC_Bit_I/SUM_I ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[25].PC_Bit_I/pc_I ), + .I2(NlwRenamedSig_OI_GPI3_Interrupt), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[25].PC_Bit_I/xor_Sum ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[25].PC_Bit_I/MUXCY_X ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [26]), + .DI(NlwRenamedSig_OI_GPI3_Interrupt), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[25].PC_Bit_I/xor_Sum ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [25]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[25].PC_Bit_I/XOR_X ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [26]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[25].PC_Bit_I/xor_Sum ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[25].PC_Bit_I/pc_Sum ) + ); + LUT4 #( + .INIT ( 16'hAACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[25].PC_Bit_I/NewPC_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[25].PC_Bit_I/pc_Sum ), + .I1(\U0/dlmb_M_ABus [25]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.jump ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/ilmb_M_ABus [25]) + ); + LUT4 #( + .INIT ( 16'hF066 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[24].PC_Bit_I/SUM_I ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[24].PC_Bit_I/pc_I ), + .I2(NlwRenamedSig_OI_GPI3_Interrupt), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[24].PC_Bit_I/xor_Sum ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[24].PC_Bit_I/MUXCY_X ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [25]), + .DI(NlwRenamedSig_OI_GPI3_Interrupt), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[24].PC_Bit_I/xor_Sum ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [24]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[24].PC_Bit_I/XOR_X ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [25]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[24].PC_Bit_I/xor_Sum ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[24].PC_Bit_I/pc_Sum ) + ); + LUT4 #( + .INIT ( 16'hAACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[24].PC_Bit_I/NewPC_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[24].PC_Bit_I/pc_Sum ), + .I1(\U0/dlmb_M_ABus [24]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.jump ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/ilmb_M_ABus [24]) + ); + LUT4 #( + .INIT ( 16'hF066 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[23].PC_Bit_I/SUM_I ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[23].PC_Bit_I/pc_I ), + .I2(NlwRenamedSig_OI_GPI3_Interrupt), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[23].PC_Bit_I/xor_Sum ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[23].PC_Bit_I/MUXCY_X ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [24]), + .DI(NlwRenamedSig_OI_GPI3_Interrupt), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[23].PC_Bit_I/xor_Sum ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [23]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[23].PC_Bit_I/XOR_X ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [24]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[23].PC_Bit_I/xor_Sum ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[23].PC_Bit_I/pc_Sum ) + ); + LUT4 #( + .INIT ( 16'hAACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[23].PC_Bit_I/NewPC_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[23].PC_Bit_I/pc_Sum ), + .I1(\U0/dlmb_M_ABus [23]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.jump ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/ilmb_M_ABus [23]) + ); + LUT4 #( + .INIT ( 16'hF066 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[22].PC_Bit_I/SUM_I ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[22].PC_Bit_I/pc_I ), + .I2(NlwRenamedSig_OI_GPI3_Interrupt), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[22].PC_Bit_I/xor_Sum ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[22].PC_Bit_I/MUXCY_X ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [23]), + .DI(NlwRenamedSig_OI_GPI3_Interrupt), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[22].PC_Bit_I/xor_Sum ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [22]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[22].PC_Bit_I/XOR_X ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [23]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[22].PC_Bit_I/xor_Sum ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[22].PC_Bit_I/pc_Sum ) + ); + LUT4 #( + .INIT ( 16'hAACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[22].PC_Bit_I/NewPC_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[22].PC_Bit_I/pc_Sum ), + .I1(\U0/dlmb_M_ABus [22]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.jump ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/ilmb_M_ABus [22]) + ); + LUT4 #( + .INIT ( 16'hF066 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[21].PC_Bit_I/SUM_I ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[21].PC_Bit_I/pc_I ), + .I2(NlwRenamedSig_OI_GPI3_Interrupt), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[21].PC_Bit_I/xor_Sum ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[21].PC_Bit_I/MUXCY_X ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [22]), + .DI(NlwRenamedSig_OI_GPI3_Interrupt), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[21].PC_Bit_I/xor_Sum ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [21]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[21].PC_Bit_I/XOR_X ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [22]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[21].PC_Bit_I/xor_Sum ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[21].PC_Bit_I/pc_Sum ) + ); + LUT4 #( + .INIT ( 16'hAACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[21].PC_Bit_I/NewPC_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[21].PC_Bit_I/pc_Sum ), + .I1(\U0/dlmb_M_ABus [21]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.jump ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/ilmb_M_ABus [21]) + ); + LUT4 #( + .INIT ( 16'hF066 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[20].PC_Bit_I/SUM_I ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[20].PC_Bit_I/pc_I ), + .I2(NlwRenamedSig_OI_GPI3_Interrupt), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[20].PC_Bit_I/xor_Sum ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[20].PC_Bit_I/MUXCY_X ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [21]), + .DI(NlwRenamedSig_OI_GPI3_Interrupt), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[20].PC_Bit_I/xor_Sum ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [20]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[20].PC_Bit_I/XOR_X ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [21]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[20].PC_Bit_I/xor_Sum ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[20].PC_Bit_I/pc_Sum ) + ); + LUT4 #( + .INIT ( 16'hAACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[20].PC_Bit_I/NewPC_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[20].PC_Bit_I/pc_Sum ), + .I1(\U0/dlmb_M_ABus [20]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.jump ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/ilmb_M_ABus [20]) + ); + LUT4 #( + .INIT ( 16'hF066 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[19].PC_Bit_I/SUM_I ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[19].PC_Bit_I/pc_I ), + .I2(NlwRenamedSig_OI_GPI3_Interrupt), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[19].PC_Bit_I/xor_Sum ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[19].PC_Bit_I/MUXCY_X ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [20]), + .DI(NlwRenamedSig_OI_GPI3_Interrupt), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[19].PC_Bit_I/xor_Sum ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [19]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[19].PC_Bit_I/XOR_X ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [20]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[19].PC_Bit_I/xor_Sum ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[19].PC_Bit_I/pc_Sum ) + ); + LUT4 #( + .INIT ( 16'hAACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[19].PC_Bit_I/NewPC_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[19].PC_Bit_I/pc_Sum ), + .I1(\U0/dlmb_M_ABus [19]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.jump ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/ilmb_M_ABus [19]) + ); + LUT4 #( + .INIT ( 16'hF066 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[18].PC_Bit_I/SUM_I ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[18].PC_Bit_I/pc_I ), + .I2(NlwRenamedSig_OI_GPI3_Interrupt), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[18].PC_Bit_I/xor_Sum ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[18].PC_Bit_I/MUXCY_X ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [19]), + .DI(NlwRenamedSig_OI_GPI3_Interrupt), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[18].PC_Bit_I/xor_Sum ), + .LO(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[18].PC_Bit_I/MUXCY_X_LO_UNCONNECTED ) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[18].PC_Bit_I/XOR_X ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Carry [19]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[18].PC_Bit_I/xor_Sum ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[18].PC_Bit_I/pc_Sum ) + ); + LUT4 #( + .INIT ( 16'hAACA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[18].PC_Bit_I/NewPC_Mux ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[18].PC_Bit_I/pc_Sum ), + .I1(\U0/dlmb_M_ABus [18]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.jump ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/ilmb_M_ABus [18]) + ); + FDSE #( + .INIT ( 1'b1 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[29].PC_Bit_I/Set_DFF.PC_IF_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.pc_write_I ), + .D(\U0/ilmb_M_ABus [29]), + .S(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[29].PC_Bit_I/pc_I ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[29].PC_Bit_I/PC_EX_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [29]), + .Q(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[29].PC_Bit_I/PC_EX_DFF_Q_UNCONNECTED ) + ); + FDSE #( + .INIT ( 1'b1 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[28].PC_Bit_I/Set_DFF.PC_IF_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.pc_write_I ), + .D(\U0/ilmb_M_ABus [28]), + .S(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[28].PC_Bit_I/pc_I ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[28].PC_Bit_I/PC_EX_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [28]), + .Q(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[28].PC_Bit_I/PC_EX_DFF_Q_UNCONNECTED ) + ); + FDSE #( + .INIT ( 1'b1 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[27].PC_Bit_I/Set_DFF.PC_IF_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.pc_write_I ), + .D(\U0/ilmb_M_ABus [27]), + .S(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[27].PC_Bit_I/pc_I ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[27].PC_Bit_I/PC_EX_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [27]), + .Q(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[27].PC_Bit_I/PC_EX_DFF_Q_UNCONNECTED ) + ); + FDSE #( + .INIT ( 1'b1 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[26].PC_Bit_I/Set_DFF.PC_IF_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.pc_write_I ), + .D(\U0/ilmb_M_ABus [26]), + .S(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[26].PC_Bit_I/pc_I ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[26].PC_Bit_I/PC_EX_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [26]), + .Q(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[26].PC_Bit_I/PC_EX_DFF_Q_UNCONNECTED ) + ); + FDSE #( + .INIT ( 1'b1 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[25].PC_Bit_I/Set_DFF.PC_IF_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.pc_write_I ), + .D(\U0/ilmb_M_ABus [25]), + .S(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[25].PC_Bit_I/pc_I ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[25].PC_Bit_I/PC_EX_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [25]), + .Q(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[25].PC_Bit_I/PC_EX_DFF_Q_UNCONNECTED ) + ); + FDSE #( + .INIT ( 1'b1 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[24].PC_Bit_I/Set_DFF.PC_IF_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.pc_write_I ), + .D(\U0/ilmb_M_ABus [24]), + .S(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[24].PC_Bit_I/pc_I ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[24].PC_Bit_I/PC_EX_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [24]), + .Q(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[24].PC_Bit_I/PC_EX_DFF_Q_UNCONNECTED ) + ); + FDSE #( + .INIT ( 1'b1 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[23].PC_Bit_I/Set_DFF.PC_IF_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.pc_write_I ), + .D(\U0/ilmb_M_ABus [23]), + .S(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[23].PC_Bit_I/pc_I ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[23].PC_Bit_I/PC_EX_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [23]), + .Q(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[23].PC_Bit_I/PC_EX_DFF_Q_UNCONNECTED ) + ); + FDSE #( + .INIT ( 1'b1 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[22].PC_Bit_I/Set_DFF.PC_IF_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.pc_write_I ), + .D(\U0/ilmb_M_ABus [22]), + .S(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[22].PC_Bit_I/pc_I ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[22].PC_Bit_I/PC_EX_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [22]), + .Q(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[22].PC_Bit_I/PC_EX_DFF_Q_UNCONNECTED ) + ); + FDSE #( + .INIT ( 1'b1 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[21].PC_Bit_I/Set_DFF.PC_IF_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.pc_write_I ), + .D(\U0/ilmb_M_ABus [21]), + .S(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[21].PC_Bit_I/pc_I ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[21].PC_Bit_I/PC_EX_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [21]), + .Q(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[21].PC_Bit_I/PC_EX_DFF_Q_UNCONNECTED ) + ); + FDSE #( + .INIT ( 1'b1 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[20].PC_Bit_I/Set_DFF.PC_IF_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.pc_write_I ), + .D(\U0/ilmb_M_ABus [20]), + .S(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[20].PC_Bit_I/pc_I ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[20].PC_Bit_I/PC_EX_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [20]), + .Q(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[20].PC_Bit_I/PC_EX_DFF_Q_UNCONNECTED ) + ); + FDSE #( + .INIT ( 1'b1 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[19].PC_Bit_I/Set_DFF.PC_IF_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.pc_write_I ), + .D(\U0/ilmb_M_ABus [19]), + .S(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[19].PC_Bit_I/pc_I ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[19].PC_Bit_I/PC_EX_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [19]), + .Q(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[19].PC_Bit_I/PC_EX_DFF_Q_UNCONNECTED ) + ); + FDSE #( + .INIT ( 1'b1 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[18].PC_Bit_I/Set_DFF.PC_IF_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.pc_write_I ), + .D(\U0/ilmb_M_ABus [18]), + .S(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[18].PC_Bit_I/pc_I ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[18].PC_Bit_I/PC_EX_DFF ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [18]), + .Q(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.Not_All_Bits.PC_GEN[18].PC_Bit_I/PC_EX_DFF_Q_UNCONNECTED ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.Zero_Detecting[5].I_Part_Of_Zero_Detect ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.zero_CI [5]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Test_Equal_N ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.nibble_Zero [5]), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_zero ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.Zero_Detecting[4].I_Part_Of_Zero_Detect ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.zero_CI [4]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Test_Equal_N ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.nibble_Zero [4]), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.zero_CI [5]) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.Zero_Detecting[3].I_Part_Of_Zero_Detect ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.zero_CI [3]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Test_Equal_N ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.nibble_Zero [3]), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.zero_CI [4]) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.Zero_Detecting[2].I_Part_Of_Zero_Detect ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.zero_CI [2]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Test_Equal_N ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.nibble_Zero [2]), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.zero_CI [3]) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.Zero_Detecting[1].I_Part_Of_Zero_Detect ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.zero_CI [1]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Test_Equal_N ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.nibble_Zero [1]), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.zero_CI [2]) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.Zero_Detecting[0].I_Part_Of_Zero_Detect ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.zero_CI [0]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Test_Equal_N ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.nibble_Zero [0]), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.zero_CI [1]) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.Part_Of_Zero_Carry_Start ( + .CI(\U0/ilmb_cntlr/lmb_select ), + .DI(NlwRenamedSig_OI_GPI3_Interrupt), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.Part_Of_Zero_Carry_Start_rt_1421 ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.zero_CI [0]) + ); + MULT_AND \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.MULT_AND_I ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/alu_Op_II [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/alu_Op_II [0]), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/sub_Carry ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Using_Breakable_Pipe.OpSel1_SPR_MUXCY_1 ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .DI(\U0/ilmb_cntlr/lmb_select ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/opsel1_SPR_Select ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_SPR ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Intr_Carry_MUXCY ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/correct_Carry_I ), + .DI(NlwRenamedSig_OI_GPI3_Interrupt), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/_n0915 ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/correct_Carry_II ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Using_Breakable_Pipe.Take_Intr_MUXCY_3 ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_Intr_Now_II ), + .DI(NlwRenamedSig_OI_GPI3_Interrupt), + .S(\U0/ilmb_cntlr/lmb_select ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ) + ); + LUT4 #( + .INIT ( 16'h00F0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.I_correct_Carry_Select ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/alu_Op_II [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/alu_Op_II [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/use_ALU_Carry ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/correct_Carry_Select ) + ); + LUT3 #( + .INIT ( 8'h15 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.OpSel1_SPR_Select_LUT_4 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/opsel1_SPR_Select_1 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/opsel1_SPR_Select_2_1 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/opsel1_SPR_Select_2_2 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/opsel1_SPR_Select ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.ALU_Carry_MUXCY ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/correct_Carry ), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/sub_Carry ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/correct_Carry_Select ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/correct_Carry_I ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.iFetch_MuxCY_3 ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ifetch_carry2 ), + .DI(\U0/ilmb_cntlr/lmb_select ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/iFetch_In_Progress_n ), + .LO(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.iFetch_MuxCY_3_LO_UNCONNECTED ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Using_Breakable_Pipe.Take_Intr_MUXCY_2 ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_Intr_Now_I ), + .DI(NlwRenamedSig_OI_GPI3_Interrupt), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_Intr_Now_Select_I ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_Intr_Now_II ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.iFetch_MuxCY_2 ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ifetch_carry1 ), + .DI(NlwRenamedSig_OI_GPI3_Interrupt), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reset_n ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ifetch_carry2 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.MUXCY_JUMP_CARRY3 ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/jump_Carry2 ), + .DI(NlwRenamedSig_OI_GPI3_Interrupt), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/jump_carry3_sel ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.jump ) + ); + LUT4 #( + .INIT ( 16'h8421 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Res_Forward2_LUT2 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.res_forward2_2 ) + ); + LUT4 #( + .INIT ( 16'h8421 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Res_Forward1_LUT1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.res_forward1_1 ) + ); + LUT4 #( + .INIT ( 16'h0200 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.OpSel1_SPR_Select_LUT_2 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [0]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [1]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [2]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [3]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/opsel1_SPR_Select_2_1 ) + ); + LUT4 #( + .INIT ( 16'h2000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.OpSel1_SPR_Select_LUT_1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [0]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [1]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [3]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/opsel1_SPR_Select_1 ) + ); + LUT4 #( + .INIT ( 16'h8421 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Res_Forward2_LUT1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.res_forward2_1 ) + ); + LUT3 #( + .INIT ( 8'h04 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.OpSel1_SPR_Select_LUT_3 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/opsel1_SPR_Select_2_2 ) + ); + LUT4 #( + .INIT ( 16'h8421 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Res_Forward1_LUT2 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.res_forward1_2 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.MUXCY_JUMP_CARRY2 ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/jump_Carry1 ), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force_DI2 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force_jump2 ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/jump_Carry2 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Correct_Carry_MUXCY ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.new_Carry ), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/MSR_Carry ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.write_Carry ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/correct_Carry ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Using_Breakable_Pipe.Take_Intr_MUXCY_1 ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .DI(NlwRenamedSig_OI_GPI3_Interrupt), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_Intr_Now_Select ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_Intr_Now_I ) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Res_Forward2_LUT4 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.res_forward2_1 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.res_forward2_2 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.res_forward2_3 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Reg_I_S ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ) + ); + LUT4 #( + .INIT ( 16'h8000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Res_Forward1_LUT4 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.res_forward1_1 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.res_forward1_2 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.res_forward1_3 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Reg_I_S ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward1 ) + ); + LUT3 #( + .INIT ( 8'h90 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Res_Forward2_LUT3 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_1344 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.res_forward2_3 ) + ); + LUT3 #( + .INIT ( 8'h90 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Res_Forward1_LUT3 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_1344 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.res_forward1_3 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.iFetch_MuxCY_1 ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.jump ), + .DI(\U0/ilmb_cntlr/lmb_select ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/buffer_Full ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ifetch_carry1 ) + ); + LUT4 #( + .INIT ( 16'hAABA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.force_di2_LUT4 ( + .I0(NlwRenamedSig_OI_GPI3_Interrupt), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force_Val2_N ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_1344 ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force_DI2 ) + ); + LUT4 #( + .INIT ( 16'h0200 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.force_jump2_LUT4 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_1344 ), + .I1(NlwRenamedSig_OI_GPI3_Interrupt), + .I2(NlwRenamedSig_OI_GPI3_Interrupt), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force2 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force_jump2 ) + ); + LUT4 #( + .INIT ( 16'h0004 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.of_PipeRun_without_dready_LUT4 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mul_Handling.mbar_first_1351 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_Valid ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/load_Store_i_1334 ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_PipeRun_without_dready ) + ); + LUT4 #( + .INIT ( 16'h0040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.of_PipeRun_Select_LUT4 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mul_Handling.mbar_first_1351 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_Valid ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/load_Store_i_1334 ), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_PipeRun_Select ) + ); + LUT3 #( + .INIT ( 8'hB4 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.force_di1_LUT3 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_neg ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/use_Reg_Neg_DI ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force_Val1 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force_DI1 ) + ); + LUT3 #( + .INIT ( 8'hB4 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.force_jump1_LUT3 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_neg ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/use_Reg_Neg_S ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force1 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force_jump1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.MUXCY_JUMP_CARRY ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_zero ), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force_DI1 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force_jump1 ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/jump_Carry1 ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.New_Carry_MUXCY ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Carry ), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Op1_Low [1]), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.New_Carry_MUXCY_rt_1422 ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.new_Carry ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.of_PipeRun_MuxCY_1 ( + .CI(\U0/dlmb_LMB_Ready ), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_PipeRun_without_dready ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_PipeRun_Select ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ) + ); + LUT4 #( + .INIT ( 16'hF800 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Reg_I_LUT ( + .I0(\U0/dlmb_LMB_Ready ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/dready_Valid ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Reg_1310 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Valid_Reg ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Reg_I_S ) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.clean_iReady_MuxCY ( + .CI(\U0/ilmb_Sl_Ready ), + .DI(NlwRenamedSig_OI_GPI3_Interrupt), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.clean_iReady_MuxCY_rt_1423 ), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Reg_Test_Equal_N_FDRE ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reg_Test_Equal_N_i ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/intr_or_delay_slot_jump ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Test_Equal_N ) + ); + FDSE #( + .INIT ( 1'b1 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Reg_Test_Equal_FDSE ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reg_Test_Equal_i ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/intr_or_delay_slot_jump ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Test_Equal ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Use_Reg_Neg_DI_FDRE ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/use_Reg_Neg_DI_i ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/intr_or_delay_slot_jump ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/use_Reg_Neg_DI ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Use_Reg_Neg_S_FDRE ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/use_Reg_Neg_S_i ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/intr_or_delay_slot_jump ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/use_Reg_Neg_S ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Force_Val1_FDRE ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force_Val1_i ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/intr_or_delay_slot_jump ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force_Val1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Force2_FDRE ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force2_i ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/intr_or_delay_slot_jump ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force2 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Force1_FDRE ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force1_i ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/intr_or_delay_slot_jump ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force1 ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.ALU_Carry_FDRE ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/correct_Carry_II ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.carry_In ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.ALU_OP1_FDRE ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/alu_Op_I [1]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [1]) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.ALU_OP0_FDRE ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/alu_Op_I [0]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.alu_Op [0]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reset_BIP_I ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/GND_12_o_instr_OF[9]_MUX_4392_o ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable10 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reset_BIP_I_1326 ) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Compare_Instr ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/GND_12_o_instr_OF[31]_MUX_4230_o ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable10 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Compare_Instr_498 ) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/enable_Interrupts_I ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [10]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable28 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/enable_Interrupts_I_1298 ) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Unsigned_Op ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [14]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable11 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Unsigned_Op_497 ) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/writing ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [3]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable26 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/writing_1330 ) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel_0 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [0]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable10 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [0]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel_1 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [1]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable10 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Result_Sel [1]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I_0 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [6]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable10 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I_1 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF<7>1_1240 ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I_2 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF<8>1_1241 ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I_3 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF<9>1_1242 ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I_4 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [10]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable10 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_is_sleep ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [6]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_is_sleep_514 ) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper_0 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [0]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper_1 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Logic_Oper [1]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/FPU_Cond_0 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [9]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/FPU_Cond [0]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/FPU_Cond_1 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [10]), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/FPU_Cond [1]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Shift_Carry_In ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/correct_Carry ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Shift_Carry_In_493 ) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/set_BIP_I ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/GND_12_o_GND_12_o_MUX_4393_o ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable10 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/set_BIP_I_1325 ) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sext16 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/GND_12_o_PWR_12_o_MUX_4239_o ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable11 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sext16_495 ) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/using_Imm ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_take_Intr_Now_AND_112_o ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/using_Imm_500 ) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sext8 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/GND_12_o_PWR_12_o_MUX_4238_o ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable11 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sext8_496 ) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/doublet_i ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/GND_12_o_instr_OF[4]_equal_54_o ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable26 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/doublet_i_508 ) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/byte_i ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/n0242 ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable26 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/byte_i_509 ) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/is_swx_I ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_PipeRun_s_I1 ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[21]_AND_22_o ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable3 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/is_swx_I_1332 ) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/select_ALU_Carry ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF[0]_INV_44_o ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable10 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/select_ALU_Carry_1331 ) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/load_Store_i ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_PipeRun_s_I1 ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_IExt_Exception_AND_20_o ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable3 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/load_Store_i_1334 ) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/is_lwx_I ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_PipeRun_s_I1 ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[21]_AND_21_o ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable3 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/is_lwx_I_1333 ) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/jump2_I ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[6]_Select_92_o_1264 ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/jump2_I_1342 ) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF[3]_take_Intr_Now_AND_107_o ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Select_Logic_492 ) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_decode_I ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_mbar_decode ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_decode_I_1343 ) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_dynamic_instr_Address.old_IE_value ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.enable_Interrupt ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_dynamic_instr_Address.old_IE_value_1345 ) + ); + FDR \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/EX_First_Cycle ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/EX_First_Cycle_1350 ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mul_Handling.mbar_first ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/GND_12_o_GND_12_o_MUX_4150_o ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mul_Handling.mbar_first_1351 ) + ); + FDR \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/break_Pipe_i ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Interrupt_FSL_Atomic_AND_167_o ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/break_Pipe_i_1348 ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reset_delay ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reset_delay_1352 ) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[0].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [0]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [0]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[1].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [1]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [1]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[2].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [2]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [2]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[3].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [3]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [3]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[4].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [4]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[5].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [5]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[6].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [6]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [6]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[7].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [7]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [7]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[8].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [8]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [8]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[9].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [9]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [9]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[10].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [10]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [10]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[11].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [11]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[12].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [12]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[13].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [13]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[14].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [14]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[15].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [15]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [4]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[16].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [16]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[17].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [17]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[18].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [18]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[19].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [19]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[20].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [20]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[21].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [21]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [5]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[22].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [22]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [6]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[23].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [23]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [7]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[24].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [24]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [8]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[25].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [25]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [9]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[26].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [26]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [10]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[27].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [27]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [11]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[28].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [28]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [12]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[29].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [29]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [13]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[30].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [30]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [14]) + ); + SRL16E #( + .INIT ( 16'h0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.PreFetch_Buffers[31].SRL16E_I/Use_unisim.MB_SRL16E_I1 ( + .A0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .A1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .A2(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .A3(NlwRenamedSig_OI_GPI3_Interrupt), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .CLK(Clk), + .D(\U0/ilmb_port_BRAM_Din [31]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [15]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.Buffer_DFFs[1].buffer_Addr_XORCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/buffer_Addr_Carry [2]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/buffer_Addr_Sum [1]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.buffer_Addr_S_I [1]) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.Buffer_DFFs[2].buffer_Addr_XORCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/buffer_Addr_Carry [3]), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/buffer_Addr_Sum [2]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.buffer_Addr_S_I [2]) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.Buffer_DFFs[2].buffer_Addr_MUXCY_L ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/buffer_Addr_Carry [3]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/buffer_Addr_Sum [2]), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/buffer_Addr_Carry [2]) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.Buffer_DFFs[1].buffer_Addr_MUXCY_L ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/buffer_Addr_Carry [2]), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/buffer_Addr_Sum [1]), + .LO(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.Buffer_DFFs[1].buffer_Addr_MUXCY_L_LO_UNCONNECTED ) + ); + XORCY \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.Buffer_DFFs[3].buffer_Addr_XORCY_I ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .LI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/buffer_Addr_Sum [3]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.buffer_Addr_S_I [3]) + ); + MUXCY_L \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.Buffer_DFFs[3].buffer_Addr_MUXCY_L ( + .CI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/clean_iReady ), + .DI(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/buffer_Addr_Sum [3]), + .LO(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/buffer_Addr_Carry [3]) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.of_valid_FDR_I ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/of_Valid_early ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/reset_Buffer_Addr ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_Valid ) + ); + FDS #( + .INIT ( 1'b1 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.Buffer_DFFs[3].FDS_I ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.buffer_Addr_S_I [3]), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/reset_Buffer_Addr ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]) + ); + FDS #( + .INIT ( 1'b1 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.Buffer_DFFs[2].FDS_I ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.buffer_Addr_S_I [2]), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/reset_Buffer_Addr ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]) + ); + FDS #( + .INIT ( 1'b1 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.Buffer_DFFs[1].FDS_I ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.buffer_Addr_S_I [1]), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/reset_Buffer_Addr ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]) + ); + LUT6_2 #( + .INIT ( 64'h7887877899996666 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.FPGA_LUT6_Target.byte_selects_i_INST ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [31]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Op1_Low [1]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [30]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Op1_Low [0]), + .I4(\U0/ilmb_cntlr/lmb_select ), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/byte_selects [0]), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/byte_selects [1]) + ); + LUT6_2 #( + .INIT ( 64'h8778877866666666 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.FPGA_LUT6_Target.low_addr_i_INST ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [31]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Op1_Low [1]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [30]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Op1_Low [0]), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/low_addr_i [0]), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/low_addr_i [1]) + ); + LUT6_2 #( + .INIT ( 64'h0CC00CC000A000A0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.FPGA_LUT6_Target_ADDR.LOW_ADDR_OUT_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/low_addr_i [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/low_addr_i [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/byte_i_509 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/doublet_i_508 ), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.FPGA_LUT6_Target_ADDR.LOW_ADDR_OUT_LUT6_O6_UNCONNECTED ), + .O5(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.FPGA_LUT6_Target_ADDR.LOW_ADDR_OUT_LUT6_O5_UNCONNECTED ) + ); + LUT6_2 #( + .INIT ( 64'hFF00F0F0CCCCAAAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.Use_Dynamic_Bus_Sizing.Not_Using_Reverse_Mem_Instr.FPGA_LUT6_Target_WD_1.GEN4_LOOP[3].BYTESTEER_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [19]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [27]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [23]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [31]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/byte_i_509 ), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/dlmb_M_DBus [23]), + .O5(\U0/dlmb_M_DBus [19]) + ); + LUT6_2 #( + .INIT ( 64'hFF00F0F0CCCCAAAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.Use_Dynamic_Bus_Sizing.Not_Using_Reverse_Mem_Instr.FPGA_LUT6_Target_WD_1.GEN4_LOOP[2].BYTESTEER_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [18]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [26]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [22]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [30]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/byte_i_509 ), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/dlmb_M_DBus [22]), + .O5(\U0/dlmb_M_DBus [18]) + ); + LUT6_2 #( + .INIT ( 64'hFF00F0F0CCCCAAAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.Use_Dynamic_Bus_Sizing.Not_Using_Reverse_Mem_Instr.FPGA_LUT6_Target_WD_1.GEN4_LOOP[1].BYTESTEER_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [17]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [25]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [21]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [29]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/byte_i_509 ), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/dlmb_M_DBus [21]), + .O5(\U0/dlmb_M_DBus [17]) + ); + LUT6_2 #( + .INIT ( 64'hFF00F0F0CCCCAAAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.Use_Dynamic_Bus_Sizing.Not_Using_Reverse_Mem_Instr.FPGA_LUT6_Target_WD_1.GEN4_LOOP[0].BYTESTEER_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [16]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [24]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [20]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [28]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/byte_i_509 ), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/dlmb_M_DBus [20]), + .O5(\U0/dlmb_M_DBus [16]) + ); + LUT6_2 #( + .INIT ( 64'h1111111155555555 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.Use_Dynamic_Bus_Sizing.Not_Using_Reverse_Mem_Instr.FPGA_LUT6_Target_WriteSel.WRITE_MSB_SEL_I ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/byte_i_509 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/doublet_i_508 ), + .I2(NlwRenamedSig_OI_GPI3_Interrupt), + .I3(NlwRenamedSig_OI_GPI3_Interrupt), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_Write_Mux_MSB [1]), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_Write_Mux_MSB [0]) + ); + LUT6_2 #( + .INIT ( 64'h03300330FFAFFFAF )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.Use_Dynamic_Bus_Sizing.Not_Using_Reverse_Mem_Instr.FPGA_LUT6_Target_ReadSel.READ_SEL_I ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/byte_selects [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/byte_selects [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/byte_i_509 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/doublet_i_508 ), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_LSB [1]), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_LSB [0]) + ); + LUT6_2 #( + .INIT ( 64'h151F151F454F454F )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.FPGA_LUT6_Target_BE.BYTE_2_3_I ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/byte_selects [0]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/byte_selects [1]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/byte_i_509 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/doublet_i_508 ), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/dlmb_M_BE [0]), + .O5(\U0/dlmb_M_BE [1]) + ); + LUT6_2 #( + .INIT ( 64'h2A2F2A2F8A8F8A8F )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.FPGA_LUT6_Target_BE.BYTE_0_1_I ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/byte_selects [0]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/byte_selects [1]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/byte_i_509 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/doublet_i_508 ), + .I4(NlwRenamedSig_OI_GPI3_Interrupt), + .I5(\U0/ilmb_cntlr/lmb_select ), + .O6(\U0/dlmb_M_BE [2]), + .O5(\U0/dlmb_M_BE [3]) + ); + LUT6_2 #( + .INIT ( 64'hFF00F0F0CCCCAAAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.Use_Dynamic_Bus_Sizing.Not_Using_Reverse_Mem_Instr.Data_Read_Steering_I/FPGA_LUT6_Target.GEN_LOOP[7].BYTESTEER_LUT6 ( + .I0(\U0/dlmb_LMB_ReadDBus [16]), + .I1(\U0/dlmb_LMB_ReadDBus [0]), + .I2(\U0/dlmb_LMB_ReadDBus [24]), + .I3(\U0/dlmb_LMB_ReadDBus [8]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_LSB [1]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_LSB [0]), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [24]), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [16]) + ); + LUT6_2 #( + .INIT ( 64'hFF00F0F0CCCCAAAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.Use_Dynamic_Bus_Sizing.Not_Using_Reverse_Mem_Instr.Data_Read_Steering_I/FPGA_LUT6_Target.GEN_LOOP[6].BYTESTEER_LUT6 ( + .I0(\U0/dlmb_LMB_ReadDBus [17]), + .I1(\U0/dlmb_LMB_ReadDBus [1]), + .I2(\U0/dlmb_LMB_ReadDBus [25]), + .I3(\U0/dlmb_LMB_ReadDBus [9]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_LSB [1]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_LSB [0]), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [25]), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [17]) + ); + LUT6_2 #( + .INIT ( 64'hFF00F0F0CCCCAAAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.Use_Dynamic_Bus_Sizing.Not_Using_Reverse_Mem_Instr.Data_Read_Steering_I/FPGA_LUT6_Target.GEN_LOOP[5].BYTESTEER_LUT6 ( + .I0(\U0/dlmb_LMB_ReadDBus [18]), + .I1(\U0/dlmb_LMB_ReadDBus [2]), + .I2(\U0/dlmb_LMB_ReadDBus [26]), + .I3(\U0/dlmb_LMB_ReadDBus [10]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_LSB [1]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_LSB [0]), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [26]), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [18]) + ); + LUT6_2 #( + .INIT ( 64'hFF00F0F0CCCCAAAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.Use_Dynamic_Bus_Sizing.Not_Using_Reverse_Mem_Instr.Data_Read_Steering_I/FPGA_LUT6_Target.GEN_LOOP[4].BYTESTEER_LUT6 ( + .I0(\U0/dlmb_LMB_ReadDBus [19]), + .I1(\U0/dlmb_LMB_ReadDBus [3]), + .I2(\U0/dlmb_LMB_ReadDBus [27]), + .I3(\U0/dlmb_LMB_ReadDBus [11]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_LSB [1]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_LSB [0]), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [27]), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [19]) + ); + LUT6_2 #( + .INIT ( 64'hFF00F0F0CCCCAAAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.Use_Dynamic_Bus_Sizing.Not_Using_Reverse_Mem_Instr.Data_Read_Steering_I/FPGA_LUT6_Target.GEN_LOOP[3].BYTESTEER_LUT6 ( + .I0(\U0/dlmb_LMB_ReadDBus [20]), + .I1(\U0/dlmb_LMB_ReadDBus [4]), + .I2(\U0/dlmb_LMB_ReadDBus [28]), + .I3(\U0/dlmb_LMB_ReadDBus [12]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_LSB [1]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_LSB [0]), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [28]), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [20]) + ); + LUT6_2 #( + .INIT ( 64'hFF00F0F0CCCCAAAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.Use_Dynamic_Bus_Sizing.Not_Using_Reverse_Mem_Instr.Data_Read_Steering_I/FPGA_LUT6_Target.GEN_LOOP[2].BYTESTEER_LUT6 ( + .I0(\U0/dlmb_LMB_ReadDBus [21]), + .I1(\U0/dlmb_LMB_ReadDBus [5]), + .I2(\U0/dlmb_LMB_ReadDBus [29]), + .I3(\U0/dlmb_LMB_ReadDBus [13]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_LSB [1]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_LSB [0]), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [29]), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [21]) + ); + LUT6_2 #( + .INIT ( 64'hFF00F0F0CCCCAAAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.Use_Dynamic_Bus_Sizing.Not_Using_Reverse_Mem_Instr.Data_Read_Steering_I/FPGA_LUT6_Target.GEN_LOOP[1].BYTESTEER_LUT6 ( + .I0(\U0/dlmb_LMB_ReadDBus [22]), + .I1(\U0/dlmb_LMB_ReadDBus [6]), + .I2(\U0/dlmb_LMB_ReadDBus [30]), + .I3(\U0/dlmb_LMB_ReadDBus [14]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_LSB [1]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_LSB [0]), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [30]), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [22]) + ); + LUT6_2 #( + .INIT ( 64'hFF00F0F0CCCCAAAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.Use_Dynamic_Bus_Sizing.Not_Using_Reverse_Mem_Instr.Data_Read_Steering_I/FPGA_LUT6_Target.GEN_LOOP[0].BYTESTEER_LUT6 ( + .I0(\U0/dlmb_LMB_ReadDBus [23]), + .I1(\U0/dlmb_LMB_ReadDBus [7]), + .I2(\U0/dlmb_LMB_ReadDBus [31]), + .I3(\U0/dlmb_LMB_ReadDBus [15]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_LSB [1]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_LSB [0]), + .O6(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [31]), + .O5(\U0/microblaze_I/MicroBlaze_Core_I/Area.extend_Data_Read [23]) + ); + LUT6_2 #( + .INIT ( 64'hFF00F0F0CCCCAAAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.Use_Dynamic_Bus_Sizing.Not_Using_Reverse_Mem_Instr.EXT_DATA_WRITE_MUX_MSB_I/FPGA_LUT6_Target.GEN4_LOOP[7].BYTESTEER_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [31]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [15]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [23]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [7]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_Write_Mux_MSB [1]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_Write_Mux_MSB [0]), + .O6(\U0/dlmb_M_DBus [7]), + .O5(\U0/dlmb_M_DBus [15]) + ); + LUT6_2 #( + .INIT ( 64'hFF00F0F0CCCCAAAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.Use_Dynamic_Bus_Sizing.Not_Using_Reverse_Mem_Instr.EXT_DATA_WRITE_MUX_MSB_I/FPGA_LUT6_Target.GEN4_LOOP[6].BYTESTEER_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [30]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [14]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [22]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [6]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_Write_Mux_MSB [1]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_Write_Mux_MSB [0]), + .O6(\U0/dlmb_M_DBus [6]), + .O5(\U0/dlmb_M_DBus [14]) + ); + LUT6_2 #( + .INIT ( 64'hFF00F0F0CCCCAAAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.Use_Dynamic_Bus_Sizing.Not_Using_Reverse_Mem_Instr.EXT_DATA_WRITE_MUX_MSB_I/FPGA_LUT6_Target.GEN4_LOOP[5].BYTESTEER_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [29]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [13]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [21]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [5]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_Write_Mux_MSB [1]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_Write_Mux_MSB [0]), + .O6(\U0/dlmb_M_DBus [5]), + .O5(\U0/dlmb_M_DBus [13]) + ); + LUT6_2 #( + .INIT ( 64'hFF00F0F0CCCCAAAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.Use_Dynamic_Bus_Sizing.Not_Using_Reverse_Mem_Instr.EXT_DATA_WRITE_MUX_MSB_I/FPGA_LUT6_Target.GEN4_LOOP[4].BYTESTEER_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [28]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [12]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [20]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [4]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_Write_Mux_MSB [1]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_Write_Mux_MSB [0]), + .O6(\U0/dlmb_M_DBus [4]), + .O5(\U0/dlmb_M_DBus [12]) + ); + LUT6_2 #( + .INIT ( 64'hFF00F0F0CCCCAAAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.Use_Dynamic_Bus_Sizing.Not_Using_Reverse_Mem_Instr.EXT_DATA_WRITE_MUX_MSB_I/FPGA_LUT6_Target.GEN4_LOOP[3].BYTESTEER_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [27]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [11]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [19]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [3]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_Write_Mux_MSB [1]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_Write_Mux_MSB [0]), + .O6(\U0/dlmb_M_DBus [3]), + .O5(\U0/dlmb_M_DBus [11]) + ); + LUT6_2 #( + .INIT ( 64'hFF00F0F0CCCCAAAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.Use_Dynamic_Bus_Sizing.Not_Using_Reverse_Mem_Instr.EXT_DATA_WRITE_MUX_MSB_I/FPGA_LUT6_Target.GEN4_LOOP[2].BYTESTEER_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [26]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [10]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [18]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [2]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_Write_Mux_MSB [1]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_Write_Mux_MSB [0]), + .O6(\U0/dlmb_M_DBus [2]), + .O5(\U0/dlmb_M_DBus [10]) + ); + LUT6_2 #( + .INIT ( 64'hFF00F0F0CCCCAAAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.Use_Dynamic_Bus_Sizing.Not_Using_Reverse_Mem_Instr.EXT_DATA_WRITE_MUX_MSB_I/FPGA_LUT6_Target.GEN4_LOOP[1].BYTESTEER_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [25]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [9]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [17]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [1]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_Write_Mux_MSB [1]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_Write_Mux_MSB [0]), + .O6(\U0/dlmb_M_DBus [1]), + .O5(\U0/dlmb_M_DBus [9]) + ); + LUT6_2 #( + .INIT ( 64'hFF00F0F0CCCCAAAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/Using_FPGA.Use_Dynamic_Bus_Sizing.Not_Using_Reverse_Mem_Instr.EXT_DATA_WRITE_MUX_MSB_I/FPGA_LUT6_Target.GEN4_LOOP[0].BYTESTEER_LUT6 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [24]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [8]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [16]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [0]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_Write_Mux_MSB [1]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Byte_Doublet_Handle_I/sel_Write_Mux_MSB [0]), + .O6(\U0/dlmb_M_DBus [0]), + .O5(\U0/dlmb_M_DBus [8]) + ); + FDS \U0/dlmb/POR_FF_I ( + .C(Clk), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .S(\U0/LMB_Rst_61 ), + .Q(\U0/dlmb_LMB_Rst ) + ); + FDR \U0/dlmb_cntlr/lmb_as ( + .C(Clk), + .D(\U0/dlmb_M_AddrStrobe ), + .R(\U0/dlmb_LMB_Rst ), + .Q(\U0/dlmb_cntlr/lmb_as_1391 ) + ); + FDR \U0/dlmb_cntlr/Sl_Rdy ( + .C(Clk), + .D(\U0/dlmb_cntlr/lmb_select ), + .R(\U0/dlmb_LMB_Rst ), + .Q(\U0/dlmb_cntlr/Sl_Rdy_1390 ) + ); + LUT3 #( + .INIT ( 8'hA8 )) + \U0/filter_reset.reset_vec[2]_filter_reset.reset_vec[1]_OR_2_o1 ( + .I0(\U0/filter_reset.reset_vec [1]), + .I1(\U0/filter_reset.reset_vec [0]), + .I2(\U0/filter_reset.reset_vec [2]), + .O(\U0/filter_reset.reset_vec[2]_filter_reset.reset_vec[1]_OR_2_o ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \U0/ilmb_cntlr/Sl_Ready_i1 ( + .I0(\U0/ilmb_cntlr/Sl_Rdy_56 ), + .I1(\U0/ilmb_cntlr/lmb_as_55 ), + .O(\U0/ilmb_Sl_Ready ) + ); + LUT5 #( + .INIT ( 32'h04000000 )) + \U0/iomodule_0/Mmux_intc_write_cimr11 ( + .I0(\U0/iomodule_0/lmb_abus_Q [0]), + .I1(\U0/iomodule_0/GND_4322_o_lmb_reg_write_AND_333_o1 ), + .I2(\U0/iomodule_0/lmb_abus_Q [3]), + .I3(\U0/iomodule_0/lmb_abus_Q [4]), + .I4(\U0/iomodule_0/lmb_abus_Q [5]), + .O(\U0/iomodule_0/intc_write_cimr ) + ); + LUT6 #( + .INIT ( 64'h0040000000000000 )) + \U0/iomodule_0/LMB_ReadStrobe_LMB_AddrStrobe_AND_329_o1 ( + .I0(\U0/dlmb_M_ABus [1]), + .I1(\U0/dlmb_M_ABus [0]), + .I2(\U0/dlmb_M_AddrStrobe ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/writing_1330 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_1344 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/load_Store_i_1334 ), + .O(\U0/iomodule_0/LMB_ReadStrobe_LMB_AddrStrobe_AND_329_o ) + ); + LUT5 #( + .INIT ( 32'h04000000 )) + \U0/iomodule_0/Mmux_gpo2_write11 ( + .I0(\U0/iomodule_0/lmb_abus_Q [0]), + .I1(\U0/iomodule_0/lmb_abus_Q [3]), + .I2(\U0/iomodule_0/lmb_abus_Q [4]), + .I3(\U0/iomodule_0/GND_4322_o_lmb_reg_write_AND_333_o1 ), + .I4(\U0/iomodule_0/lmb_abus_Q [5]), + .O(\U0/iomodule_0/gpo2_write ) + ); + LUT5 #( + .INIT ( 32'h00000040 )) + \U0/iomodule_0/Mmux_gpo1_write11 ( + .I0(\U0/iomodule_0/lmb_abus_Q [0]), + .I1(\U0/iomodule_0/GND_4322_o_lmb_reg_write_AND_333_o1 ), + .I2(\U0/iomodule_0/lmb_abus_Q [3]), + .I3(\U0/iomodule_0/lmb_abus_Q [4]), + .I4(\U0/iomodule_0/lmb_abus_Q [5]), + .O(\U0/iomodule_0/gpo1_write ) + ); + LUT5 #( + .INIT ( 32'h40000000 )) + \U0/iomodule_0/Mmux_gpo4_write11 ( + .I0(\U0/iomodule_0/lmb_abus_Q [0]), + .I1(\U0/iomodule_0/lmb_abus_Q [5]), + .I2(\U0/iomodule_0/lmb_abus_Q [3]), + .I3(\U0/iomodule_0/lmb_abus_Q [4]), + .I4(\U0/iomodule_0/GND_4322_o_lmb_reg_write_AND_333_o1 ), + .O(\U0/iomodule_0/gpo4_write ) + ); + LUT5 #( + .INIT ( 32'h04000000 )) + \U0/iomodule_0/Mmux_gpo3_write11 ( + .I0(\U0/iomodule_0/lmb_abus_Q [0]), + .I1(\U0/iomodule_0/lmb_abus_Q [3]), + .I2(\U0/iomodule_0/lmb_abus_Q [5]), + .I3(\U0/iomodule_0/lmb_abus_Q [4]), + .I4(\U0/iomodule_0/GND_4322_o_lmb_reg_write_AND_333_o1 ), + .O(\U0/iomodule_0/gpo3_write ) + ); + LUT5 #( + .INIT ( 32'h00000040 )) + \U0/iomodule_0/Mmux_uart_tx_write11 ( + .I0(\U0/iomodule_0/lmb_abus_Q [0]), + .I1(\U0/iomodule_0/GND_4322_o_lmb_reg_write_AND_333_o1 ), + .I2(\U0/iomodule_0/lmb_abus_Q [5]), + .I3(\U0/iomodule_0/lmb_abus_Q [3]), + .I4(\U0/iomodule_0/lmb_abus_Q [4]), + .O(\U0/iomodule_0/uart_tx_write ) + ); + LUT5 #( + .INIT ( 32'h40000000 )) + \U0/iomodule_0/GND_4322_o_lmb_reg_write_AND_345_o11 ( + .I0(\U0/iomodule_0/lmb_abus_Q [1]), + .I1(\U0/iomodule_0/lmb_abus_Q [4]), + .I2(\U0/iomodule_0/lmb_abus_Q [2]), + .I3(\U0/iomodule_0/lmb_abus_Q [3]), + .I4(\U0/iomodule_0/lmb_reg_write_303 ), + .O(\U0/iomodule_0/GND_4322_o_lmb_reg_write_AND_345_o1 ) + ); + LUT3 #( + .INIT ( 8'h10 )) + \U0/iomodule_0/GND_4322_o_lmb_reg_write_AND_333_o11 ( + .I0(\U0/iomodule_0/lmb_abus_Q [1]), + .I1(\U0/iomodule_0/lmb_abus_Q [2]), + .I2(\U0/iomodule_0/lmb_reg_write_303 ), + .O(\U0/iomodule_0/GND_4322_o_lmb_reg_write_AND_333_o1 ) + ); + LUT2 #( + .INIT ( 4'hE )) + \U0/iomodule_0/Sl_Ready1 ( + .I0(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I1(\U0/iomodule_0/lmb_reg_write_303 ), + .O(\U0/dlmb_Sl_Ready [1]) + ); + LUT6 #( + .INIT ( 64'hFEFFFFFFFFFFFFFF )) + \U0/iomodule_0/IOModule_Core_I1/GPI_I3/GPI_Read_inv1 ( + .I0(\U0/iomodule_0/lmb_abus_Q [1]), + .I1(\U0/iomodule_0/lmb_abus_Q [3]), + .I2(\U0/iomodule_0/lmb_abus_Q [5]), + .I3(\U0/iomodule_0/lmb_abus_Q [4]), + .I4(\U0/iomodule_0/lmb_abus_Q [2]), + .I5(\U0/iomodule_0/lmb_reg_read_250 ), + .O(\U0/iomodule_0/IOModule_Core_I1/GPI_I3/GPI_Read_inv ) + ); + LUT6 #( + .INIT ( 64'hFEFFFFFFFFFFFFFF )) + \U0/iomodule_0/IOModule_Core_I1/GPI_I2/GPI_Read_inv1 ( + .I0(\U0/iomodule_0/lmb_abus_Q [1]), + .I1(\U0/iomodule_0/lmb_abus_Q [4]), + .I2(\U0/iomodule_0/lmb_abus_Q [3]), + .I3(\U0/iomodule_0/lmb_abus_Q [5]), + .I4(\U0/iomodule_0/lmb_abus_Q [2]), + .I5(\U0/iomodule_0/lmb_reg_read_250 ), + .O(\U0/iomodule_0/IOModule_Core_I1/GPI_I2/GPI_Read_inv ) + ); + LUT6 #( + .INIT ( 64'hFFFFFFFFFEFFFFFF )) + \U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_Read_inv1 ( + .I0(\U0/iomodule_0/lmb_abus_Q [1]), + .I1(\U0/iomodule_0/lmb_abus_Q [4]), + .I2(\U0/iomodule_0/lmb_abus_Q [5]), + .I3(\U0/iomodule_0/lmb_abus_Q [2]), + .I4(\U0/iomodule_0/lmb_reg_read_250 ), + .I5(\U0/iomodule_0/lmb_abus_Q [3]), + .O(\U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_Read_inv ) + ); + LUT6 #( + .INIT ( 64'h0040000000000000 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.fast_state[1]_GND_4363_o_Mux_21_o11 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd1_463 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .I2(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd2_462 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Interrupt_Ack [0]), + .I4(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cimr_12_460 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Interrupt_Ack [1]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state[1]_GND_4363_o_Mux_21_o ) + ); + LUT4 #( + .INIT ( 16'h4644 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd1-In1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd2_462 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd1_463 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Interrupt_Ack [0]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Interrupt_Ack [1]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd1-In ) + ); + LUT4 #( + .INIT ( 16'h4404 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd2-In31 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd1_463 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd2_462 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Interrupt_Ack [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Interrupt_Ack [0]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd2-In3 ) + ); + LUT6 #( + .INIT ( 64'hFEFFFFFFFFFFFFFF )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/INTC_READ_CISR_inv1 ( + .I0(\U0/iomodule_0/lmb_abus_Q [1]), + .I1(\U0/iomodule_0/lmb_abus_Q [4]), + .I2(\U0/iomodule_0/lmb_abus_Q [5]), + .I3(\U0/iomodule_0/lmb_abus_Q [3]), + .I4(\U0/iomodule_0/lmb_abus_Q [2]), + .I5(\U0/iomodule_0/lmb_reg_read_250 ), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/INTC_READ_CISR_inv ) + ); + LUT6 #( + .INIT ( 64'h88F8888888888888 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/INTC_WRITE_CIAR_fast_ack[12]_OR_244_o1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.do_fast_ack_464 ), + .I2(\U0/iomodule_0/write_data [12]), + .I3(\U0/iomodule_0/lmb_abus_Q [0]), + .I4(\U0/iomodule_0/lmb_abus_Q [5]), + .I5(\U0/iomodule_0/GND_4322_o_lmb_reg_write_AND_345_o1 ), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/INTC_WRITE_CIAR_fast_ack[12]_OR_244_o ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cipr<12>1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cisr_12_458 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cier_12_461 ), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.mux_res<0> [2]) + ); + LUT6 #( + .INIT ( 64'hFBFFFFFFFFFFFFFF )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd1 ( + .I0(\U0/iomodule_0/lmb_abus_Q [1]), + .I1(\U0/iomodule_0/lmb_abus_Q [3]), + .I2(\U0/iomodule_0/lmb_abus_Q [4]), + .I3(\U0/iomodule_0/lmb_abus_Q [5]), + .I4(\U0/iomodule_0/lmb_abus_Q [2]), + .I5(\U0/iomodule_0/lmb_reg_read_250 ), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/rst_cipr_rd ) + ); + LUT6 #( + .INIT ( 64'hCC55CC00CC50CC50 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[17].Operand_Select_Bit_I/Mmux_op2_I11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [17]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [17]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[17].Operand_Select_Bit_I/op2_I ) + ); + LUT6 #( + .INIT ( 64'hCC55CC00CC50CC50 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[16].Operand_Select_Bit_I/Mmux_op2_I11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [16]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [16]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[16].Operand_Select_Bit_I/op2_I ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[24].Operand_Select_Bit_I/Mmux_op1_SPR11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [24]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_PC ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[24].Operand_Select_Bit_I/op1_SPR ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[25].Operand_Select_Bit_I/Mmux_op1_SPR11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [25]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_PC ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[25].Operand_Select_Bit_I/op1_SPR ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[29].Operand_Select_Bit_I/Mmux_op1_SPR11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.carry ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [29]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_PC ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[29].Operand_Select_Bit_I/op1_SPR ) + ); + LUT6 #( + .INIT ( 64'hCC55CC00CC50CC50 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[30].Operand_Select_Bit_I/Mmux_op2_I11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [14]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [30]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [30]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[30].Operand_Select_Bit_I/op2_I ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[30].Operand_Select_Bit_I/Mmux_op1_SPR11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.enable_Interrupt ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_PC ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[30].Operand_Select_Bit_I/op1_SPR ) + ); + LUT6 #( + .INIT ( 64'hCC55CC00CC50CC50 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[31].Operand_Select_Bit_I/Mmux_op2_I11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [15]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [31]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [31]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[31].Operand_Select_Bit_I/op2_I ) + ); + LUT3 #( + .INIT ( 8'hCA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[28].Operand_Select_Bit_I/Mmux_op1_SPR11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.bip_Active ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [28]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_PC ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[28].Operand_Select_Bit_I/op1_SPR ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[27].Operand_Select_Bit_I/Mmux_op1_SPR11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [27]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_PC ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[27].Operand_Select_Bit_I/op1_SPR ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[26].Operand_Select_Bit_I/Mmux_op1_SPR11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/pc_OF_I [26]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_PC ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[26].Operand_Select_Bit_I/op1_SPR ) + ); + LUT2 #( + .INIT ( 4'h2 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[0].Operand_Select_Bit_I/Mmux_op1_SPR11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.carry ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_PC ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[0].Operand_Select_Bit_I/op1_SPR ) + ); + LUT3 #( + .INIT ( 8'hD7 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[0].ALU_Bit_I1/Using_FPGA_LUT6.Last_Bit.maintain_sign_n1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Compare_Instr_498 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op2_i [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/op1_shift[0] ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ALU_I/FPGA_Target.ALL_Bits[0].ALU_Bit_I1/Using_FPGA_LUT6.Last_Bit.maintain_sign_n ) + ); + LUT4 #( + .INIT ( 16'hEA40 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext<0>21 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sext16_495 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sext8_496 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [24]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [16]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext [0]) + ); + LUT3 #( + .INIT ( 8'h20 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext<0>111 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sext8_496 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sext16_495 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [24]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/sext<0>1 ) + ); + LUT4 #( + .INIT ( 16'h5140 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/Mmux_msb11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/FPU_Cond [0]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/FPU_Cond [1]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Shift_Carry_In_493 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/op1_shift[0] ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/msb ) + ); + LUT5 #( + .INIT ( 32'hEFEA4540 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/DATA_SIZE_gt_8.DATA_SIZE_gt_16.Mask_DOUBLET_MSB.Upper_extend<0>1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sext8_496 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [16]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sext16_495 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/quadlet_Read_i_510 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [24]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/DATA_SIZE_gt_8.DATA_SIZE_gt_16.Mask_DOUBLET_MSB.Upper_extend [0]) + ); + LUT3 #( + .INIT ( 8'hE4 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/Mmux_data_Read_Mask<16>11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sext8_496 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/doublet_Read_i_511 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [24]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Result_Mux_I/data_Read_Mask [16]) + ); + LUT3 #( + .INIT ( 8'hF8 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/Mmux_rst_Values_II11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_1344 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reset_BIP_I_1326 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/rst_Values_II [28]) + ); + LUT2 #( + .INIT ( 4'hE )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/Mmux_rst_Values_II31 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/rst_Values_II [30]) + ); + LUT6 #( + .INIT ( 64'h0000000000000001 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.nibble_Zero<5>1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_neg ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [1]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [2]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [3]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [4]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [5]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.nibble_Zero [5]) + ); + LUT6 #( + .INIT ( 64'h0000000000000001 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.nibble_Zero<4>1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [10]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [11]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [6]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [7]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [8]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [9]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.nibble_Zero [4]) + ); + LUT6 #( + .INIT ( 64'h0000000000000001 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.nibble_Zero<3>1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [12]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [13]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [14]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [15]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [16]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [17]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.nibble_Zero [3]) + ); + LUT6 #( + .INIT ( 64'h0000000000000001 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.nibble_Zero<2>1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [18]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [19]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [20]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [21]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [22]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [23]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.nibble_Zero [2]) + ); + LUT6 #( + .INIT ( 64'h0000000000000001 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.nibble_Zero<1>1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [24]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [25]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [26]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [27]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [28]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [29]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.nibble_Zero [1]) + ); + LUT2 #( + .INIT ( 4'h1 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.nibble_Zero<0>1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [30]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg1 [31]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.nibble_Zero [0]) + ); + LUT6 #( + .INIT ( 64'h0040000000000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_mbar_decode1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [2]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_114_o<0>1 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_mbar_decode ) + ); + LUT6 #( + .INIT ( 64'h0040000000000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_GND_12_o_GND_12_o_MUX_4393_o11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_114_o<0>1 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/GND_12_o_GND_12_o_MUX_4393_o ) + ); + LUT6 #( + .INIT ( 64'h0000000800000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_GND_12_o_PWR_12_o_MUX_4239_o11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[25]_equal_70_o ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [15]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [2]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_114_o<0>1 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/GND_12_o_PWR_12_o_MUX_4239_o ) + ); + LUT6 #( + .INIT ( 64'h0000000200000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_GND_12_o_PWR_12_o_MUX_4238_o11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[25]_equal_70_o ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [15]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [2]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_114_o<0>1 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/GND_12_o_PWR_12_o_MUX_4238_o ) + ); + LUT5 #( + .INIT ( 32'h00AE00AA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_take_Intr_Now_Early_AND_179_o1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [2]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_Intr_Now_II ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_114_o<0>1 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ) + ); + LUT5 #( + .INIT ( 32'hF0F0FCF8 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable31 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/swx_ready_1347 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/load_Store_i_1334 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .I3(\U0/dlmb_LMB_Ready ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable3 ) + ); + LUT4 #( + .INIT ( 16'hFFA8 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_PipeRun_s_I11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/load_Store_i_1334 ), + .I1(\U0/dlmb_LMB_Ready ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/swx_ready_1347 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_PipeRun_s_I1 ) + ); + LUT3 #( + .INIT ( 8'hF8 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF<7>1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [7]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF<7>1_1240 ) + ); + LUT3 #( + .INIT ( 8'hF8 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF<8>1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [8]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF<8>1_1241 ) + ); + LUT3 #( + .INIT ( 8'hF8 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF<9>1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [9]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF<9>1_1242 ) + ); + LUT3 #( + .INIT ( 8'h10 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_use_ALU_Carry11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [0]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [1]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/use_ALU_Carry ) + ); + LUT6 #( + .INIT ( 64'hFFFFFFFF20202220 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reg_Write1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_1344 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/n0181 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Reg_1310 ), + .I3(\U0/dlmb_LMB_Ready ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/writing_1330 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reset_delay_1352 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Write_I ) + ); + LUT6 #( + .INIT ( 64'h0000000200000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_take_Intr_Now_AND_112_o1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [2]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/delay_slot_jump ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_114_o<0>1 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_take_Intr_Now_AND_112_o ) + ); + LUT6 #( + .INIT ( 64'h0000000800000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Imm_Instr1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [2]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_Valid ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_114_o<0>1 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Instr ) + ); + LUT5 #( + .INIT ( 32'h40000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[21]_AND_22_o1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [2]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [3]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [5]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[21]_AND_21_o1 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[21]_AND_22_o ) + ); + LUT5 #( + .INIT ( 32'h04000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[21]_AND_21_o2 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [3]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [2]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [5]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[21]_AND_21_o1 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[21]_AND_21_o ) + ); + LUT5 #( + .INIT ( 32'h00101000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_Reg_Test_Equal_N_i11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [9]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_115_o<0>1 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [10]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [8]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reg_Test_Equal_N_i ) + ); + LUT5 #( + .INIT ( 32'hF8F0FBFF )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_force_Val2_n_i11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_114_o<0>1 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_99_o ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force_Val2_n_i ) + ); + LUT4 #( + .INIT ( 16'hFF2A )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_Intr_Now_Select_I1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/break_Pipe_i_1348 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_1344 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mtsmsr_write_i_1328 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_Intr_Now_Select_I ) + ); + LUT4 #( + .INIT ( 16'hEFAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable281 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_99_o ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable28 ) + ); + LUT6 #( + .INIT ( 64'h5FFF133300000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/i_AS_I1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_decode_I_1343 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/iFetch_In_Progress_1341 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mul_Handling.mbar_first_1351 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_1344 ), + .I4(\U0/ilmb_Sl_Ready ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ifetch_carry2 ), + .O(\U0/ilmb_M_AddrStrobe ) + ); + LUT5 #( + .INIT ( 32'h00044000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_use_Reg_Neg_DI_i11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_115_o<0>1 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [10]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [8]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [9]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/use_Reg_Neg_DI_i ) + ); + LUT5 #( + .INIT ( 32'h00044000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_use_Reg_Neg_S_i11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_115_o<0>1 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [9]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [10]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [8]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/use_Reg_Neg_S_i ) + ); + LUT3 #( + .INIT ( 8'hEC )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable111 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable11 ) + ); + LUT3 #( + .INIT ( 8'hEA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable101 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable10 ) + ); + LUT3 #( + .INIT ( 8'h20 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[21]_AND_21_o11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [1]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[21]_AND_21_o1 ) + ); + LUT3 #( + .INIT ( 8'h20 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_114_o<0>11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [3]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [1]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_114_o<0>1 ) + ); + LUT3 #( + .INIT ( 8'h10 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_alu_Op_I11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/alu_Op_II [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/alu_Op_I [0]) + ); + LUT3 #( + .INIT ( 8'hF2 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_alu_Op_I21 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/alu_Op_II [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/alu_Op_I [1]) + ); + LUT2 #( + .INIT ( 4'h8 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_GND_12_o_instr_OF[9]_MUX_4392_o11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_99_o ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [9]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/GND_12_o_instr_OF[9]_MUX_4392_o ) + ); + LUT4 #( + .INIT ( 16'h0010 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_GND_12_o_instr_OF[31]_MUX_4230_o11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [0]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [1]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [15]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [2]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/GND_12_o_instr_OF[31]_MUX_4230_o ) + ); + LUT5 #( + .INIT ( 32'hFFEBFFFF )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_Reg_Test_Equal_i11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [9]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [10]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [8]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_115_o<0>1 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reg_Test_Equal_i ) + ); + LUT2 #( + .INIT ( 4'hB )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_jump_carry3_sel11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_Valid ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/jump2_I_1342 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/jump_carry3_sel ) + ); + LUT2 #( + .INIT ( 4'hE )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PC_Write1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.jump ), + .I1(\U0/ilmb_M_AddrStrobe ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.pc_write_I ) + ); + LUT5 #( + .INIT ( 32'h00000001 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/n0181<0>1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/n0181 ) + ); + LUT2 #( + .INIT ( 4'h1 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/n02421 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/n0242 ) + ); + LUT2 #( + .INIT ( 4'h1 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF[3]_take_Intr_Now_AND_107_o1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [3]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF[3]_take_Intr_Now_AND_107_o ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[25]_equal_70_o<25>1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [9]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [10]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[25]_equal_70_o ) + ); + LUT2 #( + .INIT ( 4'h4 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/GND_12_o_instr_OF[4]_equal_54_o1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/GND_12_o_instr_OF[4]_equal_54_o ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/delay_slot_jump1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/inHibit_EX_1335 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.jump ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/delay_slot_jump ) + ); + LUT4 #( + .INIT ( 16'hFF01 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_Intr_Now_Select1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/jump2_I_1342 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/inHibit_EX_1335 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/using_Imm_500 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_Intr_Now_Select ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Carry_I_S1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_1344 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Carry_I_1339 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.write_Carry ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mtsmsr_write_ii1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_1344 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mtsmsr_write_i_1328 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.MTSMSR_Write ) + ); + LUT6 #( + .INIT ( 64'h0000004000400040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Interrupt_FSL_Atomic_AND_167_o1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.bip_Active ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.enable_Interrupt ), + .I2(\NlwRenamedSig_OI_U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/INTC_IRQ ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.MTSMSR_Write ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/jump2_I_1342 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.jump ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Interrupt_FSL_Atomic_AND_167_o ) + ); + LUT2 #( + .INIT ( 4'h6 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Mxor_buffer_Addr_Sum<3>_xo<0>1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [3]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/buffer_Addr_Sum [3]) + ); + LUT2 #( + .INIT ( 4'h6 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Mxor_buffer_Addr_Sum<1>_xo<0>1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/buffer_Addr_Sum [1]) + ); + LUT2 #( + .INIT ( 4'h6 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Mxor_buffer_Addr_Sum<2>_xo<0>1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [2]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/buffer_Addr_Sum [2]) + ); + LUT3 #( + .INIT ( 8'h7F )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/of_Valid_early1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.buffer_Addr_S_I [3]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.buffer_Addr_S_I [2]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/Using_FPGA.buffer_Addr_S_I [1]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/of_Valid_early ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/buffer_Full_I1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_Valid ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/buffer_Full ) + ); + LUT2 #( + .INIT ( 4'hE )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/reset_Buffer_Addr1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.jump ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PreFetch_Buffer_I/reset_Buffer_Addr ) + ); + LUT6 #( + .INIT ( 64'hFFFEFF00FEFE0000 )) + \U0/dlmb/DBus_Oring.Res<30>1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/GPI_I3/GPI_In [1]), + .I1(\U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_In [1]), + .I2(\U0/iomodule_0/IOModule_Core_I1/intc_cipr[1] ), + .I3(\U0/dlmb_port_BRAM_Din [30]), + .I4(\U0/dlmb_Sl_Ready [1]), + .I5(\U0/dlmb_Sl_Ready [0]), + .O(\U0/dlmb_LMB_ReadDBus [30]) + ); + LUT2 #( + .INIT ( 4'h8 )) + \U0/dlmb_cntlr/Sl_Ready_i1 ( + .I0(\U0/dlmb_cntlr/Sl_Rdy_1390 ), + .I1(\U0/dlmb_cntlr/lmb_as_1391 ), + .O(\U0/dlmb_Sl_Ready [0]) + ); + LUT3 #( + .INIT ( 8'h20 )) + \U0/dlmb_cntlr/lmb_we<3><3>1 ( + .I0(\U0/dlmb_M_WriteStrobe ), + .I1(\U0/dlmb_M_ABus [0]), + .I2(\U0/dlmb_M_BE [3]), + .O(\U0/dlmb_port_BRAM_WEN [3]) + ); + LUT3 #( + .INIT ( 8'h20 )) + \U0/dlmb_cntlr/lmb_we<2><2>1 ( + .I0(\U0/dlmb_M_WriteStrobe ), + .I1(\U0/dlmb_M_ABus [0]), + .I2(\U0/dlmb_M_BE [2]), + .O(\U0/dlmb_port_BRAM_WEN [2]) + ); + LUT3 #( + .INIT ( 8'h20 )) + \U0/dlmb_cntlr/lmb_we<1><1>1 ( + .I0(\U0/dlmb_M_WriteStrobe ), + .I1(\U0/dlmb_M_ABus [0]), + .I2(\U0/dlmb_M_BE [1]), + .O(\U0/dlmb_port_BRAM_WEN [1]) + ); + LUT3 #( + .INIT ( 8'h20 )) + \U0/dlmb_cntlr/lmb_we<0><0>1 ( + .I0(\U0/dlmb_M_WriteStrobe ), + .I1(\U0/dlmb_M_ABus [0]), + .I2(\U0/dlmb_M_BE [0]), + .O(\U0/dlmb_port_BRAM_WEN [0]) + ); + LUT3 #( + .INIT ( 8'hE4 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[0].Operand_Select_Bit_I/Mmux_op2_I1_SW0 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/using_Imm_500 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [0]), + .O(N2) + ); + LUT6 #( + .INIT ( 64'hF0F05500F0F04444 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[0].Operand_Select_Bit_I/Mmux_op2_I1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [0]), + .I2(N2), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [0]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[0].Operand_Select_Bit_I/op2_I ) + ); + LUT5 #( + .INIT ( 32'h40000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_GND_12_o_GND_12_o_MUX_4150_o11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [3]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [2]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_114_o<0>1 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_GND_12_o_GND_12_o_MUX_4150_o1 ) + ); + LUT5 #( + .INIT ( 32'hA8A8AAA8 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_GND_12_o_GND_12_o_MUX_4150_o12 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mul_Handling.mbar_first_1351 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/EX_First_Cycle_1350 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_sleep_1336 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/iFetch_In_Progress_1341 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_GND_12_o_GND_12_o_MUX_4150_o11_1397 ) + ); + LUT6 #( + .INIT ( 64'h0000000110101011 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_PWR_12_o_GND_12_o_MUX_4170_o12 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [2]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [12]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [13]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_PWR_12_o_GND_12_o_MUX_4170_o11 ) + ); + LUT2 #( + .INIT ( 4'h1 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_OpSel1_PC1_SW0 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [15]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [2]), + .O(N12) + ); + LUT6 #( + .INIT ( 64'hFFFFCECCFFFF0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_OpSel1_PC1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .I3(N12), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_114_o<0>1 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.opsel1_PC ) + ); + LUT6 #( + .INIT ( 64'h44544444EEFEEEEE )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_ex_Valid_inHibit_EX_MUX_4104_o1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .I1(N14), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/jump2_I_1342 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_Valid ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/jump_Carry2 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/delay_slot_jump ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_rstpot ) + ); + LUT2 #( + .INIT ( 4'hE )) + \U0/dlmb/DBus_Oring.tmp_or_SW0 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/GPI_I2/GPI_In_0_352 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_In [0]), + .O(N16) + ); + LUT6 #( + .INIT ( 64'hFFFEFF00FEFE0000 )) + \U0/dlmb/DBus_Oring.tmp_or ( + .I0(\U0/iomodule_0/IOModule_Core_I1/GPI_I3/GPI_In [0]), + .I1(\U0/iomodule_0/IOModule_Core_I1/intc_cipr[0] ), + .I2(N16), + .I3(\U0/dlmb_port_BRAM_Din [31]), + .I4(\U0/dlmb_Sl_Ready [1]), + .I5(\U0/dlmb_Sl_Ready [0]), + .O(\U0/dlmb_LMB_ReadDBus [31]) + ); + FDR \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cisr_12 ( + .C(Clk), + .D(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cisr_12_glue_set_1402 ), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cisr_12_458 ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/Using_FPGA.MSR_Bits[28].Using_MSR_Reg_Bit.MSR_Reg_Bit_I/MSR_I ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/Using_FPGA.MSR_Bits[28].Using_MSR_Reg_Bit.MSR_Reg_Bit_I/MSR_I_glue_set_1403 ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/rst_Values_II [28]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.bip_Active ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/Using_FPGA.MSR_Bits[29].Using_MSR_Reg_Bit.MSR_Reg_Bit_I/MSR_I ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/Using_FPGA.MSR_Bits[29].Using_MSR_Reg_Bit.MSR_Reg_Bit_I/MSR_I_glue_set_1405 ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/rst_Values_II [29]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.carry ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/Using_FPGA.MSR_Bits[30].Using_MSR_Reg_Bit.MSR_Reg_Bit_I/MSR_I ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/Using_FPGA.MSR_Bits[30].Using_MSR_Reg_Bit.MSR_Reg_Bit_I/MSR_I_glue_set_1406 ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/rst_Values_II [30]), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.enable_Interrupt ) + ); + FDE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Ext_NM_BRK_FDRSE ( + .C(Clk), + .CE(NlwRenamedSig_OI_GPI3_Interrupt), + .D(NlwRenamedSig_OI_GPI3_Interrupt), + .Q(\NLW_U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Ext_NM_BRK_FDRSE_Q_UNCONNECTED ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Force_Val2_FDRSE ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Force_Val2_FDRSE_glue_set_1407 ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force_Val2_N ) + ); + FDRE #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Reg ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Reg_glue_set_1408 ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Reg_1310 ) + ); + LUT3 #( + .INIT ( 8'hF2 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Reg_glue_set ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_GND_12_o_MUX_4170_o ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Reg_glue_set_1408 ) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Interrupt_Ack_0 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Interrupt_Ack_0_glue_set_1409 ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Interrupt_Ack [0]) + ); + LUT3 #( + .INIT ( 8'hF2 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Interrupt_Ack_0_glue_set ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.enable_Interrupt ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_dynamic_instr_Address.old_IE_value_1345 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/enable_Interrupts_I_1298 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Interrupt_Ack_0_glue_set_1409 ) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Interrupt_Ack_1 ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Interrupt_Ack_1_glue_set_1410 ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Interrupt_Ack [1]) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Carry_I ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Carry_I_glue_set_1411 ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Carry_I_1339 ) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_glue_set_1413 ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_494 ) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/quadlet_Read_i ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/quadlet_Read_i_glue_set_1414 ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/quadlet_Read_i_510 ) + ); + FDRE \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/doublet_Read_i ( + .C(Clk), + .CE(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/doublet_Read_i_glue_set_1415 ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/doublet_Read_i_511 ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_sleep ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_sleep_glue_set_1416 ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_sleep_1336 ) + ); + FDR \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/missed_IFetch ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/missed_IFetch_glue_set_1417 ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/missed_IFetch_1338 ) + ); + FDS \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/nonvalid_IFetch_n ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/nonvalid_IFetch_n_glue_rst_1418 ), + .S(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/nonvalid_IFetch_n_1337 ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/iFetch_In_Progress ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/iFetch_In_Progress_glue_set_1419 ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/iFetch_In_Progress_1341 ) + ); + FDR #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reservation ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reservation_glue_set_1420 ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reservation_1340 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.Part_Of_Zero_Carry_Start_rt ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg_Test_Equal ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Zero_Detect_I/Using_FPGA.Part_Of_Zero_Carry_Start_rt_1421 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.New_Carry_MUXCY_rt ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/select_ALU_Carry_1331 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.New_Carry_MUXCY_rt_1422 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.clean_iReady_MuxCY_rt ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/nonvalid_IFetch_n_1337 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.clean_iReady_MuxCY_rt_1423 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_rt ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8 [0]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_rt_1424 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_rt1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12 [0]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_rt1_1425 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_rt ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0 [0]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_rt_1426 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_rt1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4 [0]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_rt1_1427 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_0_rt ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8 [10]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_0_rt_1428 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_0_rt1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12 [10]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_0_rt1_1429 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_0_rt ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0 [10]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_0_rt_1430 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_0_rt1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4 [10]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_0_rt1_1431 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_1_rt ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8 [11]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_1_rt_1432 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_1_rt1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12 [11]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_1_rt1_1433 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_1_rt ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0 [11]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_1_rt_1434 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_1_rt1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4 [11]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_1_rt1_1435 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_2_rt ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8 [1]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_2_rt_1436 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_2_rt1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12 [1]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_2_rt1_1437 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_2_rt ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0 [1]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_2_rt_1438 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_2_rt1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4 [1]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_2_rt1_1439 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_3_rt ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8 [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_3_rt_1440 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_3_rt1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12 [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_3_rt1_1441 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_3_rt ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0 [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_3_rt_1442 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_3_rt1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4 [2]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_3_rt1_1443 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_4_rt ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8 [3]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_4_rt_1444 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_4_rt1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12 [3]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_4_rt1_1445 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_4_rt ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0 [3]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_4_rt_1446 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_4_rt1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4 [3]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_4_rt1_1447 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_5_rt ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8 [4]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_5_rt_1448 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_5_rt1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12 [4]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_5_rt1_1449 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_5_rt ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0 [4]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_5_rt_1450 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_5_rt1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4 [4]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_5_rt1_1451 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_6_rt ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8 [5]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_6_rt_1452 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_6_rt1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12 [5]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_6_rt1_1453 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_6_rt ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0 [5]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_6_rt_1454 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_6_rt1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4 [5]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_6_rt1_1455 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_7_rt ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8 [6]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_7_rt_1456 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_7_rt1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12 [6]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_7_rt1_1457 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_7_rt ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0 [6]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_7_rt_1458 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_7_rt1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4 [6]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_7_rt1_1459 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_8_rt ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8 [7]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_8_rt_1460 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_8_rt1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12 [7]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_8_rt1_1461 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_8_rt ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0 [7]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_8_rt_1462 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_8_rt1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4 [7]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_8_rt1_1463 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_9_rt ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8 [8]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_9_rt_1464 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_9_rt1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12 [8]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_9_rt1_1465 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_9_rt ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0 [8]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_9_rt_1466 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_9_rt1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4 [8]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_9_rt1_1467 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_10_rt ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_8 [9]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_10_rt_1468 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_10_rt1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_12 [9]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_3_f7_10_rt1_1469 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_10_rt ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_0 [9]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_10_rt_1470 ) + ); + LUT1 #( + .INIT ( 2'h2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_10_rt1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.civar_4 [9]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Mmux_Using_Fast.civar_read_addr[3]_Using_Fast.civar[15][11]_wide_mux_49_OUT_4_f7_10_rt1_1471 ) + ); + LUT3 #( + .INIT ( 8'hE4 )) + \U0/iomodule_0/IOModule_Core_I1/GPO_I3/gpo_io_i_0_rstpot ( + .I0(\U0/iomodule_0/gpo3_write ), + .I1(\NlwRenamedSig_OI_U0/iomodule_0/IOModule_Core_I1/GPO_I3/gpo_io_i_0 ), + .I2(\U0/iomodule_0/write_data [0]), + .O(\U0/iomodule_0/IOModule_Core_I1/GPO_I3/gpo_io_i_0_rstpot_1472 ) + ); + FDR \U0/iomodule_0/IOModule_Core_I1/GPO_I3/gpo_io_i_0 ( + .C(Clk), + .D(\U0/iomodule_0/IOModule_Core_I1/GPO_I3/gpo_io_i_0_rstpot_1472 ), + .R(\U0/LMB_Rst_61 ), + .Q(\NlwRenamedSig_OI_U0/iomodule_0/IOModule_Core_I1/GPO_I3/gpo_io_i_0 ) + ); + FDR \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr_2 ( + .C(Clk), + .D(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr_2_rstpot_1473 ), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]) + ); + LUT3 #( + .INIT ( 8'hE4 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cimr_12_rstpot ( + .I0(\U0/iomodule_0/intc_write_cimr ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cimr_12_460 ), + .I2(\U0/iomodule_0/write_data [12]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cimr_12_rstpot_1474 ) + ); + FDR \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cimr_12 ( + .C(Clk), + .D(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cimr_12_rstpot_1474 ), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cimr_12_460 ) + ); + FDR \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cier_12 ( + .C(Clk), + .D(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cier_12_rstpot_1475 ), + .R(\U0/LMB_Rst_61 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cier_12_461 ) + ); + FDR \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_rstpot ), + .R(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_1344 ) + ); + FD \U0/iomodule_0/IOModule_Core_I1/GPI_I2/GPI_Interrupt ( + .C(Clk), + .D(\U0/iomodule_0/IOModule_Core_I1/GPI_I2/GPI_Interrupt_rstpot_1477 ), + .Q(\NlwRenamedSig_OI_U0/iomodule_0/IOModule_Core_I1/GPI_I2/GPI_Interrupt ) + ); + LUT2 #( + .INIT ( 4'h4 )) + \U0/iomodule_0/IOModule_Core_I1/GPI_I2/GPI_In_0_rstpot ( + .I0(\U0/iomodule_0/IOModule_Core_I1/GPI_I2/GPI_Read_inv ), + .I1(GPI2[0]), + .O(\U0/iomodule_0/IOModule_Core_I1/GPI_I2/GPI_In_0_rstpot_1478 ) + ); + FD \U0/iomodule_0/IOModule_Core_I1/GPI_I2/GPI_In_0 ( + .C(Clk), + .D(\U0/iomodule_0/IOModule_Core_I1/GPI_I2/GPI_In_0_rstpot_1478 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/GPI_I2/GPI_In_0_352 ) + ); + LUT2 #( + .INIT ( 4'h4 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/INTC_CISR_12_rstpot ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/INTC_READ_CISR_inv ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cisr_12_458 ), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/INTC_CISR_12_rstpot_1479 ) + ); + FD \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/INTC_CISR_12 ( + .C(Clk), + .D(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/INTC_CISR_12_rstpot_1479 ), + .Q(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/INTC_CISR [12]) + ); + FD \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/swx_ready ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/swx_ready_rstpot_1480 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/swx_ready_1347 ) + ); + FD \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/d_AS_I ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/d_AS_I_rstpot_1481 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/d_AS_I_1346 ) + ); + FD \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/active_wakeup ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/active_wakeup_rstpot_1482 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/active_wakeup_1349 ) + ); + FD \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/inHibit_EX ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/inHibit_EX_rstpot_1483 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/inHibit_EX_1335 ) + ); + FD \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/sleep_i ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/sleep_i_rstpot_1484 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/sleep_i_465 ) + ); + FD #( + .INIT ( 1'b0 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_rstpot1_1485 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ) + ); + FD \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mtsmsr_write_i ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mtsmsr_write_i_rstpot1_1486 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mtsmsr_write_i_1328 ) + ); + FD \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_hold_I ( + .C(Clk), + .D(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_hold_I_rstpot1_1487 ), + .Q(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_hold_I_1329 ) + ); + LUT6 #( + .INIT ( 64'h0000000008000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_99_o<0>1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [3]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [2]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [0]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_99_o ) + ); + LUT6 #( + .INIT ( 64'hFFFFEEE0EEE0EEE0 )) + \U0/dlmb/DBus_Oring.Res<29>1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intc_cipr[2] ), + .I1(\U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_In [2]), + .I2(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I3(\U0/iomodule_0/lmb_reg_write_303 ), + .I4(\U0/dlmb_port_BRAM_Din [29]), + .I5(\U0/dlmb_Sl_Ready [0]), + .O(\U0/dlmb_LMB_ReadDBus [29]) + ); + LUT6 #( + .INIT ( 64'hFFFFEEE0EEE0EEE0 )) + \U0/dlmb/DBus_Oring.Res<28>1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intc_cipr[3] ), + .I1(\U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_In [3]), + .I2(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I3(\U0/iomodule_0/lmb_reg_write_303 ), + .I4(\U0/dlmb_port_BRAM_Din [28]), + .I5(\U0/dlmb_Sl_Ready [0]), + .O(\U0/dlmb_LMB_ReadDBus [28]) + ); + LUT6 #( + .INIT ( 64'hFFFFEEE0EEE0EEE0 )) + \U0/dlmb/DBus_Oring.Res<27>1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intc_cipr[4] ), + .I1(\U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_In [4]), + .I2(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I3(\U0/iomodule_0/lmb_reg_write_303 ), + .I4(\U0/dlmb_port_BRAM_Din [27]), + .I5(\U0/dlmb_Sl_Ready [0]), + .O(\U0/dlmb_LMB_ReadDBus [27]) + ); + LUT6 #( + .INIT ( 64'hFFFFEEE0EEE0EEE0 )) + \U0/dlmb/DBus_Oring.Res<26>1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intc_cipr[5] ), + .I1(\U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_In [5]), + .I2(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I3(\U0/iomodule_0/lmb_reg_write_303 ), + .I4(\U0/dlmb_port_BRAM_Din [26]), + .I5(\U0/dlmb_Sl_Ready [0]), + .O(\U0/dlmb_LMB_ReadDBus [26]) + ); + LUT6 #( + .INIT ( 64'hFFFFEEE0EEE0EEE0 )) + \U0/dlmb/DBus_Oring.Res<25>1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intc_cipr[6] ), + .I1(\U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_In [6]), + .I2(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I3(\U0/iomodule_0/lmb_reg_write_303 ), + .I4(\U0/dlmb_port_BRAM_Din [25]), + .I5(\U0/dlmb_Sl_Ready [0]), + .O(\U0/dlmb_LMB_ReadDBus [25]) + ); + LUT6 #( + .INIT ( 64'hFFFFEEE0EEE0EEE0 )) + \U0/dlmb/DBus_Oring.Res<24>1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intc_cipr[7] ), + .I1(\U0/iomodule_0/IOModule_Core_I1/GPI_I1/GPI_In [7]), + .I2(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I3(\U0/iomodule_0/lmb_reg_write_303 ), + .I4(\U0/dlmb_port_BRAM_Din [24]), + .I5(\U0/dlmb_Sl_Ready [0]), + .O(\U0/dlmb_LMB_ReadDBus [24]) + ); + LUT6 #( + .INIT ( 64'hFFFFEEE0EEE0EEE0 )) + \U0/dlmb/DBus_Oring.Res<19>1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/INTC_CISR [12]), + .I1(\U0/iomodule_0/IOModule_Core_I1/intc_cipr[12] ), + .I2(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I3(\U0/iomodule_0/lmb_reg_write_303 ), + .I4(\U0/dlmb_port_BRAM_Din [19]), + .I5(\U0/dlmb_Sl_Ready [0]), + .O(\U0/dlmb_LMB_ReadDBus [19]) + ); + LUT3 #( + .INIT ( 8'hE4 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[6]_Select_85_o1_SW0 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [6]), + .O(N54) + ); + LUT6 #( + .INIT ( 64'h5555110155551111 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[9].Operand_Select_Bit_I/Mmux_op2_I11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [2]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_Intr_Now_II ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_114_o<0>1 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I1 ) + ); + LUT4 #( + .INIT ( 16'hFFF8 )) + \U0/dlmb/Ready_ORing.i1 ( + .I0(\U0/dlmb_cntlr/Sl_Rdy_1390 ), + .I1(\U0/dlmb_cntlr/lmb_as_1391 ), + .I2(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I3(\U0/iomodule_0/lmb_reg_write_303 ), + .O(\U0/dlmb_LMB_Ready ) + ); + LUT5 #( + .INIT ( 32'hFFFFFFFE )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Valid_Reg1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [0]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [1]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [2]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [3]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Valid_Reg ) + ); + LUT2 #( + .INIT ( 4'h8 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/i_AS_I1_SW0 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mul_Handling.mbar_first_1351 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_decode_I_1343 ), + .O(N56) + ); + LUT6 #( + .INIT ( 64'hFFFFFFFF08880AAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_PipeRun_s_I171 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ifetch_carry2 ), + .I1(\U0/ilmb_Sl_Ready ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_1344 ), + .I3(N56), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/iFetch_In_Progress_1341 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_PipeRun_s_I12 ) + ); + LUT6 #( + .INIT ( 64'hFFA8A8A8A8A8A8A8 )) + \U0/dlmb/DBus_Oring.Res<23>1 ( + .I0(\U0/dlmb_Sl_DBus[55] ), + .I1(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I2(\U0/iomodule_0/lmb_reg_write_303 ), + .I3(\U0/dlmb_cntlr/Sl_Rdy_1390 ), + .I4(\U0/dlmb_cntlr/lmb_as_1391 ), + .I5(\U0/dlmb_port_BRAM_Din [23]), + .O(\U0/dlmb_LMB_ReadDBus [23]) + ); + LUT6 #( + .INIT ( 64'hFFA8A8A8A8A8A8A8 )) + \U0/dlmb/DBus_Oring.Res<22>1 ( + .I0(\U0/dlmb_Sl_DBus[54] ), + .I1(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I2(\U0/iomodule_0/lmb_reg_write_303 ), + .I3(\U0/dlmb_cntlr/Sl_Rdy_1390 ), + .I4(\U0/dlmb_cntlr/lmb_as_1391 ), + .I5(\U0/dlmb_port_BRAM_Din [22]), + .O(\U0/dlmb_LMB_ReadDBus [22]) + ); + LUT6 #( + .INIT ( 64'hFFA8A8A8A8A8A8A8 )) + \U0/dlmb/DBus_Oring.Res<21>1 ( + .I0(\U0/dlmb_Sl_DBus[53] ), + .I1(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I2(\U0/iomodule_0/lmb_reg_write_303 ), + .I3(\U0/dlmb_cntlr/Sl_Rdy_1390 ), + .I4(\U0/dlmb_cntlr/lmb_as_1391 ), + .I5(\U0/dlmb_port_BRAM_Din [21]), + .O(\U0/dlmb_LMB_ReadDBus [21]) + ); + LUT6 #( + .INIT ( 64'hFFA8A8A8A8A8A8A8 )) + \U0/dlmb/DBus_Oring.Res<20>1 ( + .I0(\U0/dlmb_Sl_DBus[52] ), + .I1(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I2(\U0/iomodule_0/lmb_reg_write_303 ), + .I3(\U0/dlmb_cntlr/Sl_Rdy_1390 ), + .I4(\U0/dlmb_cntlr/lmb_as_1391 ), + .I5(\U0/dlmb_port_BRAM_Din [20]), + .O(\U0/dlmb_LMB_ReadDBus [20]) + ); + LUT6 #( + .INIT ( 64'hFFA8A8A8A8A8A8A8 )) + \U0/dlmb/DBus_Oring.Res<18>1 ( + .I0(\U0/dlmb_Sl_DBus[50] ), + .I1(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I2(\U0/iomodule_0/lmb_reg_write_303 ), + .I3(\U0/dlmb_cntlr/Sl_Rdy_1390 ), + .I4(\U0/dlmb_cntlr/lmb_as_1391 ), + .I5(\U0/dlmb_port_BRAM_Din [18]), + .O(\U0/dlmb_LMB_ReadDBus [18]) + ); + LUT6 #( + .INIT ( 64'hFFA8A8A8A8A8A8A8 )) + \U0/dlmb/DBus_Oring.Res<17>1 ( + .I0(\U0/dlmb_Sl_DBus[49] ), + .I1(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I2(\U0/iomodule_0/lmb_reg_write_303 ), + .I3(\U0/dlmb_cntlr/Sl_Rdy_1390 ), + .I4(\U0/dlmb_cntlr/lmb_as_1391 ), + .I5(\U0/dlmb_port_BRAM_Din [17]), + .O(\U0/dlmb_LMB_ReadDBus [17]) + ); + LUT6 #( + .INIT ( 64'hFFA8A8A8A8A8A8A8 )) + \U0/dlmb/DBus_Oring.Res<16>1 ( + .I0(\U0/dlmb_Sl_DBus[48] ), + .I1(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I2(\U0/iomodule_0/lmb_reg_write_303 ), + .I3(\U0/dlmb_cntlr/Sl_Rdy_1390 ), + .I4(\U0/dlmb_cntlr/lmb_as_1391 ), + .I5(\U0/dlmb_port_BRAM_Din [16]), + .O(\U0/dlmb_LMB_ReadDBus [16]) + ); + LUT6 #( + .INIT ( 64'hFFA8A8A8A8A8A8A8 )) + \U0/dlmb/DBus_Oring.Res<15>1 ( + .I0(\U0/dlmb_Sl_DBus[47] ), + .I1(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I2(\U0/iomodule_0/lmb_reg_write_303 ), + .I3(\U0/dlmb_cntlr/Sl_Rdy_1390 ), + .I4(\U0/dlmb_cntlr/lmb_as_1391 ), + .I5(\U0/dlmb_port_BRAM_Din [15]), + .O(\U0/dlmb_LMB_ReadDBus [15]) + ); + LUT6 #( + .INIT ( 64'hFFA8A8A8A8A8A8A8 )) + \U0/dlmb/DBus_Oring.Res<14>1 ( + .I0(\U0/dlmb_Sl_DBus[46] ), + .I1(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I2(\U0/iomodule_0/lmb_reg_write_303 ), + .I3(\U0/dlmb_cntlr/Sl_Rdy_1390 ), + .I4(\U0/dlmb_cntlr/lmb_as_1391 ), + .I5(\U0/dlmb_port_BRAM_Din [14]), + .O(\U0/dlmb_LMB_ReadDBus [14]) + ); + LUT6 #( + .INIT ( 64'hFFA8A8A8A8A8A8A8 )) + \U0/dlmb/DBus_Oring.Res<13>1 ( + .I0(\U0/dlmb_Sl_DBus[45] ), + .I1(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I2(\U0/iomodule_0/lmb_reg_write_303 ), + .I3(\U0/dlmb_cntlr/Sl_Rdy_1390 ), + .I4(\U0/dlmb_cntlr/lmb_as_1391 ), + .I5(\U0/dlmb_port_BRAM_Din [13]), + .O(\U0/dlmb_LMB_ReadDBus [13]) + ); + LUT6 #( + .INIT ( 64'hFFA8A8A8A8A8A8A8 )) + \U0/dlmb/DBus_Oring.Res<12>1 ( + .I0(\U0/dlmb_Sl_DBus[44] ), + .I1(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I2(\U0/iomodule_0/lmb_reg_write_303 ), + .I3(\U0/dlmb_cntlr/Sl_Rdy_1390 ), + .I4(\U0/dlmb_cntlr/lmb_as_1391 ), + .I5(\U0/dlmb_port_BRAM_Din [12]), + .O(\U0/dlmb_LMB_ReadDBus [12]) + ); + LUT6 #( + .INIT ( 64'hFFA8A8A8A8A8A8A8 )) + \U0/dlmb/DBus_Oring.Res<11>1 ( + .I0(\U0/dlmb_Sl_DBus[43] ), + .I1(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I2(\U0/iomodule_0/lmb_reg_write_303 ), + .I3(\U0/dlmb_cntlr/Sl_Rdy_1390 ), + .I4(\U0/dlmb_cntlr/lmb_as_1391 ), + .I5(\U0/dlmb_port_BRAM_Din [11]), + .O(\U0/dlmb_LMB_ReadDBus [11]) + ); + LUT6 #( + .INIT ( 64'hFFA8A8A8A8A8A8A8 )) + \U0/dlmb/DBus_Oring.Res<10>1 ( + .I0(\U0/dlmb_Sl_DBus[42] ), + .I1(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I2(\U0/iomodule_0/lmb_reg_write_303 ), + .I3(\U0/dlmb_cntlr/Sl_Rdy_1390 ), + .I4(\U0/dlmb_cntlr/lmb_as_1391 ), + .I5(\U0/dlmb_port_BRAM_Din [10]), + .O(\U0/dlmb_LMB_ReadDBus [10]) + ); + LUT6 #( + .INIT ( 64'hFFA8A8A8A8A8A8A8 )) + \U0/dlmb/DBus_Oring.Res<9>1 ( + .I0(\U0/dlmb_Sl_DBus[41] ), + .I1(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I2(\U0/iomodule_0/lmb_reg_write_303 ), + .I3(\U0/dlmb_cntlr/Sl_Rdy_1390 ), + .I4(\U0/dlmb_cntlr/lmb_as_1391 ), + .I5(\U0/dlmb_port_BRAM_Din [9]), + .O(\U0/dlmb_LMB_ReadDBus [9]) + ); + LUT6 #( + .INIT ( 64'hFFA8A8A8A8A8A8A8 )) + \U0/dlmb/DBus_Oring.Res<8>1 ( + .I0(\U0/dlmb_Sl_DBus[40] ), + .I1(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I2(\U0/iomodule_0/lmb_reg_write_303 ), + .I3(\U0/dlmb_cntlr/Sl_Rdy_1390 ), + .I4(\U0/dlmb_cntlr/lmb_as_1391 ), + .I5(\U0/dlmb_port_BRAM_Din [8]), + .O(\U0/dlmb_LMB_ReadDBus [8]) + ); + LUT6 #( + .INIT ( 64'hFFA8A8A8A8A8A8A8 )) + \U0/dlmb/DBus_Oring.Res<7>1 ( + .I0(\U0/dlmb_Sl_DBus[39] ), + .I1(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I2(\U0/iomodule_0/lmb_reg_write_303 ), + .I3(\U0/dlmb_cntlr/Sl_Rdy_1390 ), + .I4(\U0/dlmb_cntlr/lmb_as_1391 ), + .I5(\U0/dlmb_port_BRAM_Din [7]), + .O(\U0/dlmb_LMB_ReadDBus [7]) + ); + LUT6 #( + .INIT ( 64'hFFA8A8A8A8A8A8A8 )) + \U0/dlmb/DBus_Oring.Res<6>1 ( + .I0(\U0/dlmb_Sl_DBus[38] ), + .I1(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I2(\U0/iomodule_0/lmb_reg_write_303 ), + .I3(\U0/dlmb_cntlr/Sl_Rdy_1390 ), + .I4(\U0/dlmb_cntlr/lmb_as_1391 ), + .I5(\U0/dlmb_port_BRAM_Din [6]), + .O(\U0/dlmb_LMB_ReadDBus [6]) + ); + LUT6 #( + .INIT ( 64'hFFA8A8A8A8A8A8A8 )) + \U0/dlmb/DBus_Oring.Res<5>1 ( + .I0(\U0/dlmb_Sl_DBus[37] ), + .I1(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I2(\U0/iomodule_0/lmb_reg_write_303 ), + .I3(\U0/dlmb_cntlr/Sl_Rdy_1390 ), + .I4(\U0/dlmb_cntlr/lmb_as_1391 ), + .I5(\U0/dlmb_port_BRAM_Din [5]), + .O(\U0/dlmb_LMB_ReadDBus [5]) + ); + LUT6 #( + .INIT ( 64'hFFA8A8A8A8A8A8A8 )) + \U0/dlmb/DBus_Oring.Res<4>1 ( + .I0(\U0/dlmb_Sl_DBus[36] ), + .I1(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I2(\U0/iomodule_0/lmb_reg_write_303 ), + .I3(\U0/dlmb_cntlr/Sl_Rdy_1390 ), + .I4(\U0/dlmb_cntlr/lmb_as_1391 ), + .I5(\U0/dlmb_port_BRAM_Din [4]), + .O(\U0/dlmb_LMB_ReadDBus [4]) + ); + LUT6 #( + .INIT ( 64'hFFA8A8A8A8A8A8A8 )) + \U0/dlmb/DBus_Oring.Res<3>1 ( + .I0(\U0/dlmb_Sl_DBus[35] ), + .I1(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I2(\U0/iomodule_0/lmb_reg_write_303 ), + .I3(\U0/dlmb_cntlr/Sl_Rdy_1390 ), + .I4(\U0/dlmb_cntlr/lmb_as_1391 ), + .I5(\U0/dlmb_port_BRAM_Din [3]), + .O(\U0/dlmb_LMB_ReadDBus [3]) + ); + LUT6 #( + .INIT ( 64'hFFA8A8A8A8A8A8A8 )) + \U0/dlmb/DBus_Oring.Res<2>1 ( + .I0(\U0/dlmb_Sl_DBus[34] ), + .I1(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I2(\U0/iomodule_0/lmb_reg_write_303 ), + .I3(\U0/dlmb_cntlr/Sl_Rdy_1390 ), + .I4(\U0/dlmb_cntlr/lmb_as_1391 ), + .I5(\U0/dlmb_port_BRAM_Din [2]), + .O(\U0/dlmb_LMB_ReadDBus [2]) + ); + LUT6 #( + .INIT ( 64'hFFA8A8A8A8A8A8A8 )) + \U0/dlmb/DBus_Oring.Res<1>1 ( + .I0(\U0/dlmb_Sl_DBus[33] ), + .I1(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I2(\U0/iomodule_0/lmb_reg_write_303 ), + .I3(\U0/dlmb_cntlr/Sl_Rdy_1390 ), + .I4(\U0/dlmb_cntlr/lmb_as_1391 ), + .I5(\U0/dlmb_port_BRAM_Din [1]), + .O(\U0/dlmb_LMB_ReadDBus [1]) + ); + LUT6 #( + .INIT ( 64'hFFA8A8A8A8A8A8A8 )) + \U0/dlmb/DBus_Oring.Res<0>1 ( + .I0(\U0/dlmb_Sl_DBus[32] ), + .I1(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I2(\U0/iomodule_0/lmb_reg_write_303 ), + .I3(\U0/dlmb_cntlr/Sl_Rdy_1390 ), + .I4(\U0/dlmb_cntlr/lmb_as_1391 ), + .I5(\U0/dlmb_port_BRAM_Din [0]), + .O(\U0/dlmb_LMB_ReadDBus [0]) + ); + LUT6 #( + .INIT ( 64'hFFAAA2AAFFAAFFAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/nonvalid_IFetch_n_glue_rst ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/nonvalid_IFetch_n_IReady_MUX_4205_o ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/inHibit_EX_1335 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/nonvalid_IFetch_n_1337 ), + .I4(\U0/ilmb_M_AddrStrobe ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.jump ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/nonvalid_IFetch_n_glue_rst_1418 ) + ); + LUT6 #( + .INIT ( 64'h3033000020222022 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_hold_I_rstpot1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_hold_I_1329 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .I3(\U0/ilmb_M_AddrStrobe ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_mbar_decode ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_PipeRun_s_I12 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_hold_I_rstpot1_1487 ) + ); + LUT3 #( + .INIT ( 8'hF8 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/intr_or_delay_slot_jump1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/inHibit_EX_1335 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.jump ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/intr_or_delay_slot_jump ) + ); + LUT6 #( + .INIT ( 64'h1404040404040404 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_alu_Op_II21 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [0]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [3]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/alu_Op_II [1]) + ); + LUT6 #( + .INIT ( 64'hFBFFFFFFFFFFFFFF )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_alu_Op_II11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [3]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [0]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [1]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/alu_Op_II [0]) + ); + LUT5 #( + .INIT ( 32'h01031133 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PC_Incr1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_hold_I_1329 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/missed_IFetch_1338 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_Valid ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_1344 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.buffer_Addr [1]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.pc_Incr ) + ); + LUT6 #( + .INIT ( 64'h004C0000AAAAAAAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/inHibit_EX_glue_ce ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/inHibit_EX_1335 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.jump ), + .I3(N54), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_114_o<0>1 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/inHibit_EX_glue_ce_1412 ) + ); + LUT3 #( + .INIT ( 8'hE4 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[9].Operand_Select_Bit_I/Mmux_op2_I13_SW0 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/using_Imm_500 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [9]), + .O(N58) + ); + LUT6 #( + .INIT ( 64'hFCCCF000EECCAA00 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[9].Operand_Select_Bit_I/Mmux_op2_I13 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [9]), + .I1(N58), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [9]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I1 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[9].Operand_Select_Bit_I/op2_I ) + ); + LUT3 #( + .INIT ( 8'hE4 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[8].Operand_Select_Bit_I/Mmux_op2_I13_SW0 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/using_Imm_500 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [8]), + .O(N60) + ); + LUT6 #( + .INIT ( 64'hFCCCF000EECCAA00 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[8].Operand_Select_Bit_I/Mmux_op2_I13 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [8]), + .I1(N60), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [8]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I1 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[8].Operand_Select_Bit_I/op2_I ) + ); + LUT3 #( + .INIT ( 8'hE4 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[7].Operand_Select_Bit_I/Mmux_op2_I13_SW0 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/using_Imm_500 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [7]), + .O(N62) + ); + LUT6 #( + .INIT ( 64'hFCCCF000EECCAA00 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[7].Operand_Select_Bit_I/Mmux_op2_I13 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [7]), + .I1(N62), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [7]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I1 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[7].Operand_Select_Bit_I/op2_I ) + ); + LUT3 #( + .INIT ( 8'hE4 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[6].Operand_Select_Bit_I/Mmux_op2_I13_SW0 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/using_Imm_500 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [6]), + .O(N64) + ); + LUT6 #( + .INIT ( 64'hFCCCF000EECCAA00 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[6].Operand_Select_Bit_I/Mmux_op2_I13 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [6]), + .I1(N64), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [6]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I1 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[6].Operand_Select_Bit_I/op2_I ) + ); + LUT3 #( + .INIT ( 8'hE4 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[5].Operand_Select_Bit_I/Mmux_op2_I13_SW0 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/using_Imm_500 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [5]), + .O(N66) + ); + LUT6 #( + .INIT ( 64'hFCCCF000EECCAA00 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[5].Operand_Select_Bit_I/Mmux_op2_I13 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [5]), + .I1(N66), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [5]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I1 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[5].Operand_Select_Bit_I/op2_I ) + ); + LUT3 #( + .INIT ( 8'hE4 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[4].Operand_Select_Bit_I/Mmux_op2_I13_SW0 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/using_Imm_500 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [4]), + .O(N68) + ); + LUT6 #( + .INIT ( 64'hFCCCF000EECCAA00 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[4].Operand_Select_Bit_I/Mmux_op2_I13 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [4]), + .I1(N68), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [4]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I1 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[4].Operand_Select_Bit_I/op2_I ) + ); + LUT3 #( + .INIT ( 8'hE4 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[3].Operand_Select_Bit_I/Mmux_op2_I13_SW0 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/using_Imm_500 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [3]), + .O(N70) + ); + LUT6 #( + .INIT ( 64'hFCCCF000EECCAA00 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[3].Operand_Select_Bit_I/Mmux_op2_I13 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [3]), + .I1(N70), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [3]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I1 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[3].Operand_Select_Bit_I/op2_I ) + ); + LUT3 #( + .INIT ( 8'hE4 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[2].Operand_Select_Bit_I/Mmux_op2_I13_SW0 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/using_Imm_500 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [2]), + .O(N72) + ); + LUT6 #( + .INIT ( 64'hFCCCF000EECCAA00 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[2].Operand_Select_Bit_I/Mmux_op2_I13 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [2]), + .I1(N72), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [2]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I1 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[2].Operand_Select_Bit_I/op2_I ) + ); + LUT3 #( + .INIT ( 8'hE4 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[1].Operand_Select_Bit_I/Mmux_op2_I13_SW0 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/using_Imm_500 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [1]), + .O(N74) + ); + LUT6 #( + .INIT ( 64'hFCCCF000EECCAA00 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[1].Operand_Select_Bit_I/Mmux_op2_I13 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [1]), + .I1(N74), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I1 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[1].Operand_Select_Bit_I/op2_I ) + ); + LUT3 #( + .INIT ( 8'hE4 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[15].Operand_Select_Bit_I/Mmux_op2_I13_SW0 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/using_Imm_500 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [15]), + .O(N76) + ); + LUT6 #( + .INIT ( 64'hFCCCF000EECCAA00 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[15].Operand_Select_Bit_I/Mmux_op2_I13 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [15]), + .I1(N76), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [15]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I1 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[15].Operand_Select_Bit_I/op2_I ) + ); + LUT3 #( + .INIT ( 8'hE4 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[14].Operand_Select_Bit_I/Mmux_op2_I13_SW0 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/using_Imm_500 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [14]), + .O(N78) + ); + LUT6 #( + .INIT ( 64'hFCCCF000EECCAA00 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[14].Operand_Select_Bit_I/Mmux_op2_I13 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [14]), + .I1(N78), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [14]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I1 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[14].Operand_Select_Bit_I/op2_I ) + ); + LUT3 #( + .INIT ( 8'hE4 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[13].Operand_Select_Bit_I/Mmux_op2_I13_SW0 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/using_Imm_500 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [13]), + .O(N80) + ); + LUT6 #( + .INIT ( 64'hFCCCF000EECCAA00 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[13].Operand_Select_Bit_I/Mmux_op2_I13 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [13]), + .I1(N80), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [13]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I1 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[13].Operand_Select_Bit_I/op2_I ) + ); + LUT3 #( + .INIT ( 8'hE4 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[12].Operand_Select_Bit_I/Mmux_op2_I13_SW0 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/using_Imm_500 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [12]), + .O(N82) + ); + LUT6 #( + .INIT ( 64'hFCCCF000EECCAA00 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[12].Operand_Select_Bit_I/Mmux_op2_I13 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [12]), + .I1(N82), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [12]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I1 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[12].Operand_Select_Bit_I/op2_I ) + ); + LUT3 #( + .INIT ( 8'hE4 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[11].Operand_Select_Bit_I/Mmux_op2_I13_SW0 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/using_Imm_500 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [11]), + .O(N84) + ); + LUT6 #( + .INIT ( 64'hFCCCF000EECCAA00 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[11].Operand_Select_Bit_I/Mmux_op2_I13 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [11]), + .I1(N84), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [11]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I1 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[11].Operand_Select_Bit_I/op2_I ) + ); + LUT3 #( + .INIT ( 8'hE4 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I13_SW0 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/using_Imm_500 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Size_17to32.imm_Reg [10]), + .O(N86) + ); + LUT6 #( + .INIT ( 64'hFCCCF000EECCAA00 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I13 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [10]), + .I1(N86), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [10]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I1 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/op2_I ) + ); + LUT5 #( + .INIT ( 32'h04001410 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_rstpot1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/break_Pipe_i_1348 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_rstpot1_1485 ) + ); + LUT6 #( + .INIT ( 64'h5444444444444444 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_sleep_glue_set ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/active_wakeup_1349 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_sleep_1336 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/EX_First_Cycle_1350 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_1344 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_decode_I_1343 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_is_sleep_514 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_sleep_glue_set_1416 ) + ); + LUT4 #( + .INIT ( 16'h0010 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/swx_ready_rstpot ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reservation_1340 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/is_swx_I_1332 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/swx_ready_rstpot_1480 ) + ); + LUT5 #( + .INIT ( 32'hABA8A8A8 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr_2_rstpot ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr [2]), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd1_463 ), + .I2(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd2_462 ), + .I3(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cier_12_461 ), + .I4(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cisr_12_458 ), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/civr_2_rstpot_1473 ) + ); + LUT5 #( + .INIT ( 32'hFFBFAAAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable261 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [1]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [0]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Reset_OR_DriverANDClockEnable26 ) + ); + LUT6 #( + .INIT ( 64'hFFFFFFFFFFFFFFEF )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_glue_set ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_114_o<0>1 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [2]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[25]_equal_70_o ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Sign_Extend_glue_set_1413 ) + ); + LUT3 #( + .INIT ( 8'hF2 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cisr_12_glue_set ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cisr_12_458 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/INTC_WRITE_CIAR_fast_ack[12]_OR_244_o ), + .I2(\NlwRenamedSig_OI_U0/iomodule_0/IOModule_Core_I1/GPI_I2/GPI_Interrupt ), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cisr_12_glue_set_1402 ) + ); + LUT5 #( + .INIT ( 32'hAABAAA8A )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cier_12_rstpot ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cier_12_461 ), + .I1(\U0/iomodule_0/lmb_abus_Q [5]), + .I2(\U0/iomodule_0/GND_4322_o_lmb_reg_write_AND_345_o1 ), + .I3(\U0/iomodule_0/lmb_abus_Q [0]), + .I4(\U0/iomodule_0/write_data [12]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cier_12_rstpot_1475 ) + ); + LUT4 #( + .INIT ( 16'h44F4 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Interrupt_Ack_1_glue_set ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/enable_Interrupts_I_1298 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.enable_Interrupt ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_dynamic_instr_Address.old_IE_value_1345 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Interrupt_Ack_1_glue_set_1410 ) + ); + LUT3 #( + .INIT ( 8'h10 )) + \U0/iomodule_0/IOModule_Core_I1/GPI_I2/GPI_Interrupt_rstpot ( + .I0(GPI2[0]), + .I1(\U0/LMB_Rst_61 ), + .I2(\U0/iomodule_0/IOModule_Core_I1/GPI_I2/Using_GPI.GPI_Sampled_0_338 ), + .O(\U0/iomodule_0/IOModule_Core_I1/GPI_I2/GPI_Interrupt_rstpot_1477 ) + ); + LUT3 #( + .INIT ( 8'h20 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/active_wakeup_rstpot ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/sleep_i_465 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .I2(\NlwRenamedSig_OI_U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/INTC_IRQ ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/active_wakeup_rstpot_1482 ) + ); + LUT5 #( + .INIT ( 32'hFFFFFF7F )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mtsmsr_write_i_rstpot1_SW0 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [15]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .O(N90) + ); + LUT6 #( + .INIT ( 64'h1010101010105410 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mtsmsr_write_i_rstpot1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mtsmsr_write_i_1328 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_114_o<0>1 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [2]), + .I5(N90), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mtsmsr_write_i_rstpot1_1486 ) + ); + LUT5 #( + .INIT ( 32'hFF75FFFF )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/quadlet_Read_i_glue_set ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [0]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [1]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/quadlet_Read_i_glue_set_1414 ) + ); + LUT3 #( + .INIT ( 8'hF7 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_PWR_12_o_GND_12_o_MUX_4170_o14_SW0 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [2]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .O(N92) + ); + LUT6 #( + .INIT ( 64'h1101111155555555 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_PWR_12_o_GND_12_o_MUX_4170_o14 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [1]), + .I2(N92), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_PWR_12_o_GND_12_o_MUX_4170_o11 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [3]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_GND_12_o_MUX_4170_o ) + ); + LUT6 #( + .INIT ( 64'h0000000800000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/d_AS_I_rstpot ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [0]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/delay_slot_jump ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [1]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/d_AS_I_rstpot_1481 ) + ); + LUT3 #( + .INIT ( 8'h27 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[6]_Select_92_o_SW1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [6]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.reg1_Addr [0]), + .O(N94) + ); + LUT6 #( + .INIT ( 64'h00FFFFFF00080808 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[6]_Select_92_o ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_114_o<0>1 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I2(N94), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/inHibit_EX_1335 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.jump ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_99_o ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[6]_Select_92_o_1264 ) + ); + LUT6 #( + .INIT ( 64'h0000000800000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_force1_i11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_114_o<0>1 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [8]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [9]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force1_i ) + ); + LUT6 #( + .INIT ( 64'h0040000000000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_force_Val1_i11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [9]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [8]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_114_o<0>1 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force_Val1_i ) + ); + LUT5 #( + .INIT ( 32'h00404040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_IExt_Exception_AND_20_o1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [0]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.jump ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/inHibit_EX_1335 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_IExt_Exception_AND_20_o ) + ); + LUT5 #( + .INIT ( 32'h80800080 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Write_Strobe1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_1344 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/load_Store_i_1334 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/writing_1330 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/is_swx_I_1332 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reservation_1340 ), + .O(\U0/dlmb_M_WriteStrobe ) + ); + LUT4 #( + .INIT ( 16'h7222 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_nonvalid_IFetch_n_IReady_MUX_4205_o11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/nonvalid_IFetch_n_1337 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/of_Valid ), + .I2(\U0/ilmb_cntlr/lmb_as_55 ), + .I3(\U0/ilmb_cntlr/Sl_Rdy_56 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/nonvalid_IFetch_n_IReady_MUX_4205_o ) + ); + LUT3 #( + .INIT ( 8'hA2 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/D_AS1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/d_AS_I_1346 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/is_swx_I_1332 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reservation_1340 ), + .O(\U0/dlmb_M_AddrStrobe ) + ); + LUT6 #( + .INIT ( 64'hFFFFFFFF00020202 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_ex_Valid_inHibit_EX_MUX_4104_o1_SW0 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/load_Store_i_1334 ), + .I1(\U0/iomodule_0/lmb_reg_write_303 ), + .I2(\U0/iomodule_0/lmb_reg_read_Q_304 ), + .I3(\U0/dlmb_cntlr/Sl_Rdy_1390 ), + .I4(\U0/dlmb_cntlr/lmb_as_1391 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mul_Handling.mbar_first_1351 ), + .O(N14) + ); + LUT6 #( + .INIT ( 64'h0000000200000000 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0297_inv1 ( + .I0(\U0/iomodule_0/lmb_abus_Q [0]), + .I1(\U0/iomodule_0/lmb_abus_Q [5]), + .I2(\U0/iomodule_0/lmb_abus_Q [3]), + .I3(\U0/iomodule_0/lmb_abus_Q [2]), + .I4(\U0/iomodule_0/lmb_abus_Q [4]), + .I5(\U0/iomodule_0/lmb_reg_write_303 ), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0297_inv ) + ); + LUT6 #( + .INIT ( 64'h0000000800000000 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0281_inv1 ( + .I0(\U0/iomodule_0/lmb_abus_Q [3]), + .I1(\U0/iomodule_0/lmb_reg_write_303 ), + .I2(\U0/iomodule_0/lmb_abus_Q [5]), + .I3(\U0/iomodule_0/lmb_abus_Q [2]), + .I4(\U0/iomodule_0/lmb_abus_Q [4]), + .I5(\U0/iomodule_0/lmb_abus_Q [0]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0281_inv ) + ); + LUT6 #( + .INIT ( 64'h0000000800000000 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0265_inv1 ( + .I0(\U0/iomodule_0/lmb_abus_Q [2]), + .I1(\U0/iomodule_0/lmb_reg_write_303 ), + .I2(\U0/iomodule_0/lmb_abus_Q [5]), + .I3(\U0/iomodule_0/lmb_abus_Q [3]), + .I4(\U0/iomodule_0/lmb_abus_Q [4]), + .I5(\U0/iomodule_0/lmb_abus_Q [0]), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0265_inv ) + ); + LUT6 #( + .INIT ( 64'h0040000000000000 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0249_inv1 ( + .I0(\U0/iomodule_0/lmb_abus_Q [5]), + .I1(\U0/iomodule_0/lmb_abus_Q [3]), + .I2(\U0/iomodule_0/lmb_abus_Q [2]), + .I3(\U0/iomodule_0/lmb_abus_Q [4]), + .I4(\U0/iomodule_0/lmb_abus_Q [0]), + .I5(\U0/iomodule_0/lmb_reg_write_303 ), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/_n0249_inv ) + ); + LUT4 #( + .INIT ( 16'hFFEA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Carry_I_glue_set_SW0 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [10]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [9]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .O(N96) + ); + LUT6 #( + .INIT ( 64'h0001000100011001 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Carry_I_glue_set ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [3]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [0]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [2]), + .I5(N96), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Carry_I_glue_set_1411 ) + ); + LUT4 #( + .INIT ( 16'hBAAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/Mmux_rst_Values_II21 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.new_Carry ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Carry_I_1339 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_1344 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/rst_Values_II [29]) + ); + LUT5 #( + .INIT ( 32'hFFEAC0EA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Force_Val2_FDRSE_glue_set ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force_Val2_N ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/inHibit_EX_1335 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.jump ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force_Val2_n_i ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Using_FPGA.Force_Val2_FDRSE_glue_set_1407 ) + ); + LUT6 #( + .INIT ( 64'hAAAEAEAEAAA2A2A2 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_GND_12_o_GND_12_o_MUX_4150_o13 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_GND_12_o_GND_12_o_MUX_4150_o11_1397 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/inHibit_EX_1335 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.jump ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_GND_12_o_GND_12_o_MUX_4150_o1 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/GND_12_o_GND_12_o_MUX_4150_o ) + ); + LUT6 #( + .INIT ( 64'hBFBF00BFBFBF0000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/inHibit_EX_rstpot ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/nonvalid_IFetch_n_1337 ), + .I1(\U0/ilmb_cntlr/Sl_Rdy_56 ), + .I2(\U0/ilmb_cntlr/lmb_as_55 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/inHibit_EX_glue_ce_1412 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/inHibit_EX_rstpot_1483 ) + ); + LUT3 #( + .INIT ( 8'hF8 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/Using_FPGA.MSR_Bits[29].Using_MSR_Reg_Bit.MSR_Reg_Bit_I/MSR_I_glue_set ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_1344 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Carry_I_1339 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/Using_FPGA.MSR_Bits[29].Using_MSR_Reg_Bit.MSR_Reg_Bit_I/MSR_I_glue_ce_1404 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/Using_FPGA.MSR_Bits[29].Using_MSR_Reg_Bit.MSR_Reg_Bit_I/MSR_I_glue_set_1405 ) + ); + LUT6 #( + .INIT ( 64'h1111111110001010 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/sleep_i_rstpot ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/active_wakeup_1349 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mbar_sleep_1336 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/write_Addr_I [4]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/iFetch_In_Progress_1341 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/sleep_i_465 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/sleep_i_rstpot_1484 ) + ); + LUT5 #( + .INIT ( 32'h40000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_115_o<0>11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [1]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [3]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/PWR_12_o_instr_OF[0]_equal_115_o<0>1 ) + ); + LUT6 #( + .INIT ( 64'h2000000020002000 )) + \U0/iomodule_0/LMB_WriteStrobe_LMB_AddrStrobe_AND_331_o1 ( + .I0(\U0/dlmb_M_WriteStrobe ), + .I1(\U0/dlmb_M_ABus [1]), + .I2(\U0/dlmb_M_ABus [0]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/d_AS_I_1346 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reservation_1340 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/is_swx_I_1332 ), + .O(\U0/iomodule_0/LMB_WriteStrobe_LMB_AddrStrobe_AND_331_o ) + ); + LUT5 #( + .INIT ( 32'hFDFFA888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/Using_FPGA.MSR_Bits[30].Using_MSR_Reg_Bit.MSR_Reg_Bit_I/MSR_I_glue_set ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_1344 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/enable_Interrupts_I_1298 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Op1_Low [0]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mtsmsr_write_i_1328 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.enable_Interrupt ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/Using_FPGA.MSR_Bits[30].Using_MSR_Reg_Bit.MSR_Reg_Bit_I/MSR_I_glue_set_1406 ) + ); + LUT5 #( + .INIT ( 32'hFDFFA888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/Using_FPGA.MSR_Bits[28].Using_MSR_Reg_Bit.MSR_Reg_Bit_I/MSR_I_glue_set ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_1344 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/set_BIP_I_1325 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/op1_i [28]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mtsmsr_write_i_1328 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.bip_Active ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/Using_FPGA.MSR_Bits[28].Using_MSR_Reg_Bit.MSR_Reg_Bit_I/MSR_I_glue_set_1403 ) + ); + LUT6 #( + .INIT ( 64'h222AAAAAEE2AAAAA )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_MSR_Carry11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.carry ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_1344 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/is_lwx_I_1333 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/is_swx_I_1332 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/load_Store_i_1334 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reservation_1340 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/MSR_Carry ) + ); + LUT5 #( + .INIT ( 32'hFFFFFFF7 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/doublet_Read_i_glue_set ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [0]), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [1]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/doublet_Read_i_glue_set_1415 ) + ); + LUT6 #( + .INIT ( 64'h0040000000000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Mmux_force2_i11 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [3]), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [5]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [1]), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [4]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/force2_i ) + ); + LUT6 #( + .INIT ( 64'h7175606460646064 )) + \U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd2-In1 ( + .I0(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd1_463 ), + .I1(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd2_462 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Interrupt_Ack [0]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/Interrupt_Ack [1]), + .I4(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cisr_12_458 ), + .I5(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/cier_12_461 ), + .O(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.fast_state_FSM_FFd2-In ) + ); + LUT5 #( + .INIT ( 32'hFFF51115 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reservation_glue_set_SW1 ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reservation_1340 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/is_lwx_I_1333 ), + .I2(\U0/dlmb_LMB_Ready ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/PC_Module_I/Using_FPGA.normal_piperun ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/is_swx_I_1332 ), + .O(N98) + ); + LUT6 #( + .INIT ( 64'h0100550011105500 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reservation_glue_set ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/set_BIP_I_1325 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/load_Store_i_1334 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reservation_1340 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_1344 ), + .I5(N98), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reservation_glue_set_1420 ) + ); + LUT5 #( + .INIT ( 32'h2A7F2A2A )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/missed_IFetch_glue_set ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/missed_IFetch_1338 ), + .I1(\U0/ilmb_cntlr/Sl_Rdy_56 ), + .I2(\U0/ilmb_cntlr/lmb_as_55 ), + .I3(\U0/ilmb_M_AddrStrobe ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.jump ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/missed_IFetch_glue_set_1417 ) + ); + LUT4 #( + .INIT ( 16'hFF2A )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/iFetch_In_Progress_glue_set ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/iFetch_In_Progress_1341 ), + .I1(\U0/ilmb_cntlr/Sl_Rdy_56 ), + .I2(\U0/ilmb_cntlr/lmb_as_55 ), + .I3(\U0/ilmb_M_AddrStrobe ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/iFetch_In_Progress_glue_set_1419 ) + ); + MUXF7 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/Using_FPGA.MSR_Bits[29].Using_MSR_Reg_Bit.MSR_Reg_Bit_I/MSR_I_glue_ce ( + .I0(N100), + .I1(N101), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/is_swx_I_1332 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/Using_FPGA.MSR_Bits[29].Using_MSR_Reg_Bit.MSR_Reg_Bit_I/MSR_I_glue_ce_1404 ) + ); + LUT6 #( + .INIT ( 64'h7F557F7F2A000000 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/Using_FPGA.MSR_Bits[29].Using_MSR_Reg_Bit.MSR_Reg_Bit_I/MSR_I_glue_ce_F ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_1344 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/load_Store_i_1334 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/is_lwx_I_1333 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/op1_shift[29] ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mtsmsr_write_i_1328 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.carry ), + .O(N100) + ); + LUT6 #( + .INIT ( 64'h7577FDFF2000A888 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/MSR_Reg_I/Using_FPGA.MSR_Bits[29].Using_MSR_Reg_Bit.MSR_Reg_Bit_I/MSR_I_glue_ce_G ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/ex_Valid_1344 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/load_Store_i_1334 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Shift_Logic_Module_I/op1_shift[29] ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/mtsmsr_write_i_1328 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reservation_1340 ), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.carry ), + .O(N101) + ); + MUXF7 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[23].Operand_Select_Bit_I/Mmux_op2_I12 ( + .I0(N102), + .I1(N103), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [23]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[23].Operand_Select_Bit_I/op2_I ) + ); + LUT6 #( + .INIT ( 64'hEAFBEAEA40514040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[23].Operand_Select_Bit_I/Mmux_op2_I12_F ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I2(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [6]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [23]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [7]), + .O(N102) + ); + LUT6 #( + .INIT ( 64'hFFFEBBBA55541110 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[23].Operand_Select_Bit_I/Mmux_op2_I12_G ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [23]), + .I4(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [6]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [7]), + .O(N103) + ); + MUXF7 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[22].Operand_Select_Bit_I/Mmux_op2_I12 ( + .I0(N104), + .I1(N105), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [22]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[22].Operand_Select_Bit_I/op2_I ) + ); + LUT6 #( + .INIT ( 64'hEAFBEAEA40514040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[22].Operand_Select_Bit_I/Mmux_op2_I12_F ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I2(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [7]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [22]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [6]), + .O(N104) + ); + LUT6 #( + .INIT ( 64'hFFFEBBBA55541110 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[22].Operand_Select_Bit_I/Mmux_op2_I12_G ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [22]), + .I4(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [7]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [6]), + .O(N105) + ); + MUXF7 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[21].Operand_Select_Bit_I/Mmux_op2_I12 ( + .I0(N106), + .I1(N107), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [21]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[21].Operand_Select_Bit_I/op2_I ) + ); + LUT6 #( + .INIT ( 64'hEAFBEAEA40514040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[21].Operand_Select_Bit_I/Mmux_op2_I12_F ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I2(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [8]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [21]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [5]), + .O(N106) + ); + LUT6 #( + .INIT ( 64'hFFFEBBBA55541110 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[21].Operand_Select_Bit_I/Mmux_op2_I12_G ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [21]), + .I4(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [8]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [5]), + .O(N107) + ); + MUXF7 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[20].Operand_Select_Bit_I/Mmux_op2_I12 ( + .I0(N108), + .I1(N109), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [20]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[20].Operand_Select_Bit_I/op2_I ) + ); + LUT6 #( + .INIT ( 64'hEAFBEAEA40514040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[20].Operand_Select_Bit_I/Mmux_op2_I12_F ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I2(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [9]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [20]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .O(N108) + ); + LUT6 #( + .INIT ( 64'hFFFEBBBA55541110 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[20].Operand_Select_Bit_I/Mmux_op2_I12_G ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [20]), + .I4(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [9]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [4]), + .O(N109) + ); + MUXF7 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[19].Operand_Select_Bit_I/Mmux_op2_I12 ( + .I0(N110), + .I1(N111), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [19]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[19].Operand_Select_Bit_I/op2_I ) + ); + LUT6 #( + .INIT ( 64'hEAFBEAEA40514040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[19].Operand_Select_Bit_I/Mmux_op2_I12_F ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I2(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [10]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [19]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .O(N110) + ); + LUT6 #( + .INIT ( 64'hFFFEBBBA55541110 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[19].Operand_Select_Bit_I/Mmux_op2_I12_G ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [19]), + .I4(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [10]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [3]), + .O(N111) + ); + MUXF7 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[18].Operand_Select_Bit_I/Mmux_op2_I12 ( + .I0(N112), + .I1(N113), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [18]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[18].Operand_Select_Bit_I/op2_I ) + ); + LUT6 #( + .INIT ( 64'hEAFBEAEA40514040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[18].Operand_Select_Bit_I/Mmux_op2_I12_F ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I2(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [11]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [18]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .O(N112) + ); + LUT6 #( + .INIT ( 64'hFFFEBBBA55541110 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[18].Operand_Select_Bit_I/Mmux_op2_I12_G ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [18]), + .I4(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [11]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [2]), + .O(N113) + ); + MUXF7 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[24].Operand_Select_Bit_I/Mmux_op2_I12 ( + .I0(N114), + .I1(N115), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [24]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[24].Operand_Select_Bit_I/op2_I ) + ); + LUT6 #( + .INIT ( 64'hEAFBEAEA40514040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[24].Operand_Select_Bit_I/Mmux_op2_I12_F ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I2(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [5]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [24]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [8]), + .O(N114) + ); + LUT6 #( + .INIT ( 64'hFFFEBBBA55541110 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[24].Operand_Select_Bit_I/Mmux_op2_I12_G ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [24]), + .I4(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [5]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [8]), + .O(N115) + ); + MUXF7 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[25].Operand_Select_Bit_I/Mmux_op2_I12 ( + .I0(N116), + .I1(N117), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [25]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[25].Operand_Select_Bit_I/op2_I ) + ); + LUT6 #( + .INIT ( 64'hEAFBEAEA40514040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[25].Operand_Select_Bit_I/Mmux_op2_I12_F ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I2(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [4]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [25]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [9]), + .O(N116) + ); + LUT6 #( + .INIT ( 64'hFFFEBBBA55541110 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[25].Operand_Select_Bit_I/Mmux_op2_I12_G ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [25]), + .I4(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [4]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [9]), + .O(N117) + ); + MUXF7 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[29].Operand_Select_Bit_I/Mmux_op2_I12 ( + .I0(N118), + .I1(N119), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [29]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[29].Operand_Select_Bit_I/op2_I ) + ); + LUT6 #( + .INIT ( 64'hEAFBEAEA40514040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[29].Operand_Select_Bit_I/Mmux_op2_I12_F ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I2(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [0]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [29]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [13]), + .O(N118) + ); + LUT6 #( + .INIT ( 64'hFFFEBBBA55541110 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[29].Operand_Select_Bit_I/Mmux_op2_I12_G ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [29]), + .I4(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [0]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [13]), + .O(N119) + ); + MUXF7 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[28].Operand_Select_Bit_I/Mmux_op2_I12 ( + .I0(N120), + .I1(N121), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [28]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[28].Operand_Select_Bit_I/op2_I ) + ); + LUT6 #( + .INIT ( 64'hEAFBEAEA40514040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[28].Operand_Select_Bit_I/Mmux_op2_I12_F ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I2(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [1]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [28]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [12]), + .O(N120) + ); + LUT6 #( + .INIT ( 64'hFFFEBBBA55541110 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[28].Operand_Select_Bit_I/Mmux_op2_I12_G ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [28]), + .I4(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [1]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [12]), + .O(N121) + ); + MUXF7 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[27].Operand_Select_Bit_I/Mmux_op2_I12 ( + .I0(N122), + .I1(N123), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [27]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[27].Operand_Select_Bit_I/op2_I ) + ); + LUT6 #( + .INIT ( 64'hEAFBEAEA40514040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[27].Operand_Select_Bit_I/Mmux_op2_I12_F ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I2(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [2]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [27]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [11]), + .O(N122) + ); + LUT6 #( + .INIT ( 64'hFFFEBBBA55541110 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[27].Operand_Select_Bit_I/Mmux_op2_I12_G ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [27]), + .I4(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [2]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [11]), + .O(N123) + ); + MUXF7 \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[26].Operand_Select_Bit_I/Mmux_op2_I12 ( + .I0(N124), + .I1(N125), + .S(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/ex_Result [26]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[26].Operand_Select_Bit_I/op2_I ) + ); + LUT6 #( + .INIT ( 64'hEAFBEAEA40514040 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[26].Operand_Select_Bit_I/Mmux_op2_I12_F ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I2(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [3]), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .I4(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [26]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [10]), + .O(N124) + ); + LUT6 #( + .INIT ( 64'hFFFEBBBA55541110 )) + \U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[26].Operand_Select_Bit_I/Mmux_op2_I12_G ( + .I0(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/Operand_Select_I/Using_FPGA.OpSelect_Bits[10].Operand_Select_Bit_I/Mmux_op2_I12 ), + .I1(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/take_intr_2nd_cycle_1327 ), + .I2(\U0/microblaze_I/MicroBlaze_Core_I/Area.res_Forward2 ), + .I3(\U0/microblaze_I/MicroBlaze_Core_I/Area.Data_Flow_I/reg2_Data [26]), + .I4(\U0/iomodule_0/IOModule_Core_I1/intr_ctrl_I1/Using_Fast.intr_addr_i [3]), + .I5(\U0/microblaze_I/MicroBlaze_Core_I/Area.imm_Value [10]), + .O(N125) + ); + INV \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/_n09151_INV_0 ( + .I(\U0/microblaze_I/MicroBlaze_Core_I/Area.disable_Interrupts ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/_n0915 ) + ); + INV \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF[0]_INV_44_o1_INV_0 ( + .I(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF [0]), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/instr_OF[0]_INV_44_o ) + ); + INV \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reset_n1_INV_0 ( + .I(\U0/microblaze_I/MicroBlaze_Core_I/sync_reset_466 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/reset_n ) + ); + INV \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/dready_Valid1_INV_0 ( + .I(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/writing_1330 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/dready_Valid ) + ); + INV \U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/iFetch_In_Progress_n1_INV_0 ( + .I(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/iFetch_In_Progress_1341 ), + .O(\U0/microblaze_I/MicroBlaze_Core_I/Area.Decode_I/iFetch_In_Progress_n ) + ); + INV \U0/dlmb_cntlr/lmb_mux_I/one_lmb.pselect_mask_lmb/CS<0>1_INV_0 ( + .I(\U0/dlmb_M_ABus [0]), + .O(\U0/dlmb_cntlr/lmb_select ) + ); + INV \U0/ilmb_cntlr/Sl_Rdy_inv1_INV_0 ( + .I(\U0/ilmb_cntlr/Sl_Rdy_56 ), + .O(\U0/ilmb_cntlr/Sl_Rdy_inv ) + ); + RAMB16BWER #( + .INIT_00 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_01 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_02 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_03 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_04 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_05 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_06 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_07 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_08 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_09 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_10 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_11 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_12 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_13 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_14 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_15 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_16 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_17 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_18 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_19 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_20 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_21 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_22 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_23 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_24 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_25 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_26 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_27 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_28 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_29 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_30 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_31 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_32 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_33 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_34 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_35 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_36 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_37 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_38 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_39 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_A ( 36'h000000000 ), + .INIT_B ( 36'h000000000 ), + .SIM_COLLISION_CHECK ( "NONE" ), + .SRVAL_A ( 36'h000000000 ), + .SRVAL_B ( 36'h000000000 ), + .WRITE_MODE_A ( "READ_FIRST" ), + .WRITE_MODE_B ( "READ_FIRST" ), + .INITP_04 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_02 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_03 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_05 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_07 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_01 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_00 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_06 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .DATA_WIDTH_A ( 4 ), + .DATA_WIDTH_B ( 4 ), + .DOA_REG ( 0 ), + .DOB_REG ( 0 ), + .EN_RSTRAM_A ( "TRUE" ), + .EN_RSTRAM_B ( "TRUE" ), + .RST_PRIORITY_A ( "CE" ), + .RST_PRIORITY_B ( "CE" ), + .RSTTYPE ( "SYNC" ), + .SIM_DEVICE ( "SPARTAN6" ), + .INIT_FILE ( "microblaze_mcs.lmb_bram_7.mem" )) + \U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1 ( + .REGCEA(\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_REGCEA_UNCONNECTED ), + .CLKA(Clk), + .ENB(\U0/dlmb_M_AddrStrobe ), + .RSTB(NlwRenamedSig_OI_GPI3_Interrupt), + .CLKB(Clk), + .REGCEB(\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_REGCEB_UNCONNECTED ), + .RSTA(NlwRenamedSig_OI_GPI3_Interrupt), + .ENA(\U0/ilmb_M_AddrStrobe ), + .DIPA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIPA<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIPA<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIPA<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIPA<0>_UNCONNECTED }), + .WEA({NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt}), + .DOA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOA<4>_UNCONNECTED , \U0/ilmb_port_BRAM_Din [28], \U0/ilmb_port_BRAM_Din [29], +\U0/ilmb_port_BRAM_Din [30], \U0/ilmb_port_BRAM_Din [31]}), + .ADDRA({\U0/ilmb_M_ABus [18], \U0/ilmb_M_ABus [19], \U0/ilmb_M_ABus [20], \U0/ilmb_M_ABus [21], \U0/ilmb_M_ABus [22], \U0/ilmb_M_ABus [23], +\U0/ilmb_M_ABus [24], \U0/ilmb_M_ABus [25], \U0/ilmb_M_ABus [26], \U0/ilmb_M_ABus [27], \U0/ilmb_M_ABus [28], \U0/ilmb_M_ABus [29], +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_ADDRA<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_ADDRA<0>_UNCONNECTED }), + .ADDRB({\U0/dlmb_M_ABus [18], \U0/dlmb_M_ABus [19], \U0/dlmb_M_ABus [20], \U0/dlmb_M_ABus [21], \U0/dlmb_M_ABus [22], \U0/dlmb_M_ABus [23], +\U0/dlmb_M_ABus [24], \U0/dlmb_M_ABus [25], \U0/dlmb_M_ABus [26], \U0/dlmb_M_ABus [27], \U0/dlmb_M_ABus [28], \U0/dlmb_M_ABus [29], +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_ADDRB<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_ADDRB<0>_UNCONNECTED }), + .DIB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIB<4>_UNCONNECTED , \U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [28], +\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [29], \U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [30], +\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [31]}), + .DOPA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOPA<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOPA<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOPA<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOPA<0>_UNCONNECTED }), + .DIPB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIPB<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIPB<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIPB<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIPB<0>_UNCONNECTED }), + .DOPB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOPB<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOPB<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOPB<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOPB<0>_UNCONNECTED }), + .DOB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DOB<4>_UNCONNECTED , \U0/dlmb_port_BRAM_Din [28], \U0/dlmb_port_BRAM_Din [29], +\U0/dlmb_port_BRAM_Din [30], \U0/dlmb_port_BRAM_Din [31]}), + .WEB({\U0/dlmb_port_BRAM_WEN [3], \U0/dlmb_port_BRAM_WEN [3], \U0/dlmb_port_BRAM_WEN [3], \U0/dlmb_port_BRAM_WEN [3]}), + .DIA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1_DIA<4>_UNCONNECTED , NlwRenamedSig_OI_GPI3_Interrupt, +NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt}) + ); + RAMB16BWER #( + .INIT_00 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_01 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_02 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_03 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_04 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_05 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_06 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_07 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_08 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_09 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_10 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_11 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_12 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_13 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_14 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_15 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_16 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_17 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_18 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_19 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_20 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_21 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_22 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_23 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_24 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_25 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_26 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_27 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_28 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_29 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_30 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_31 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_32 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_33 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_34 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_35 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_36 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_37 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_38 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_39 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_A ( 36'h000000000 ), + .INIT_B ( 36'h000000000 ), + .SIM_COLLISION_CHECK ( "NONE" ), + .SRVAL_A ( 36'h000000000 ), + .SRVAL_B ( 36'h000000000 ), + .WRITE_MODE_A ( "READ_FIRST" ), + .WRITE_MODE_B ( "READ_FIRST" ), + .INITP_04 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_02 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_03 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_05 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_07 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_01 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_00 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_06 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .DATA_WIDTH_A ( 4 ), + .DATA_WIDTH_B ( 4 ), + .DOA_REG ( 0 ), + .DOB_REG ( 0 ), + .EN_RSTRAM_A ( "TRUE" ), + .EN_RSTRAM_B ( "TRUE" ), + .RST_PRIORITY_A ( "CE" ), + .RST_PRIORITY_B ( "CE" ), + .RSTTYPE ( "SYNC" ), + .SIM_DEVICE ( "SPARTAN6" ), + .INIT_FILE ( "microblaze_mcs.lmb_bram_6.mem" )) + \U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1 ( + .REGCEA(\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_REGCEA_UNCONNECTED ), + .CLKA(Clk), + .ENB(\U0/dlmb_M_AddrStrobe ), + .RSTB(NlwRenamedSig_OI_GPI3_Interrupt), + .CLKB(Clk), + .REGCEB(\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_REGCEB_UNCONNECTED ), + .RSTA(NlwRenamedSig_OI_GPI3_Interrupt), + .ENA(\U0/ilmb_M_AddrStrobe ), + .DIPA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIPA<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIPA<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIPA<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIPA<0>_UNCONNECTED }), + .WEA({NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt}), + .DOA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOA<4>_UNCONNECTED , \U0/ilmb_port_BRAM_Din [24], \U0/ilmb_port_BRAM_Din [25], +\U0/ilmb_port_BRAM_Din [26], \U0/ilmb_port_BRAM_Din [27]}), + .ADDRA({\U0/ilmb_M_ABus [18], \U0/ilmb_M_ABus [19], \U0/ilmb_M_ABus [20], \U0/ilmb_M_ABus [21], \U0/ilmb_M_ABus [22], \U0/ilmb_M_ABus [23], +\U0/ilmb_M_ABus [24], \U0/ilmb_M_ABus [25], \U0/ilmb_M_ABus [26], \U0/ilmb_M_ABus [27], \U0/ilmb_M_ABus [28], \U0/ilmb_M_ABus [29], +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_ADDRA<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_ADDRA<0>_UNCONNECTED }), + .ADDRB({\U0/dlmb_M_ABus [18], \U0/dlmb_M_ABus [19], \U0/dlmb_M_ABus [20], \U0/dlmb_M_ABus [21], \U0/dlmb_M_ABus [22], \U0/dlmb_M_ABus [23], +\U0/dlmb_M_ABus [24], \U0/dlmb_M_ABus [25], \U0/dlmb_M_ABus [26], \U0/dlmb_M_ABus [27], \U0/dlmb_M_ABus [28], \U0/dlmb_M_ABus [29], +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_ADDRB<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_ADDRB<0>_UNCONNECTED }), + .DIB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIB<4>_UNCONNECTED , \U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [24], +\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [25], \U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [26], +\U0/microblaze_I/MicroBlaze_Core_I/Area.raw_Data_Write [27]}), + .DOPA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOPA<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOPA<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOPA<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOPA<0>_UNCONNECTED }), + .DIPB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIPB<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIPB<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIPB<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIPB<0>_UNCONNECTED }), + .DOPB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOPB<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOPB<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOPB<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOPB<0>_UNCONNECTED }), + .DOB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DOB<4>_UNCONNECTED , \U0/dlmb_port_BRAM_Din [24], \U0/dlmb_port_BRAM_Din [25], +\U0/dlmb_port_BRAM_Din [26], \U0/dlmb_port_BRAM_Din [27]}), + .WEB({\U0/dlmb_port_BRAM_WEN [3], \U0/dlmb_port_BRAM_WEN [3], \U0/dlmb_port_BRAM_WEN [3], \U0/dlmb_port_BRAM_WEN [3]}), + .DIA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1_DIA<4>_UNCONNECTED , NlwRenamedSig_OI_GPI3_Interrupt, +NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt}) + ); + RAMB16BWER #( + .INIT_00 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_01 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_02 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_03 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_04 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_05 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_06 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_07 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_08 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_09 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_10 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_11 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_12 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_13 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_14 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_15 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_16 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_17 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_18 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_19 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_20 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_21 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_22 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_23 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_24 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_25 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_26 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_27 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_28 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_29 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_30 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_31 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_32 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_33 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_34 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_35 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_36 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_37 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_38 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_39 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_A ( 36'h000000000 ), + .INIT_B ( 36'h000000000 ), + .SIM_COLLISION_CHECK ( "NONE" ), + .SRVAL_A ( 36'h000000000 ), + .SRVAL_B ( 36'h000000000 ), + .WRITE_MODE_A ( "READ_FIRST" ), + .WRITE_MODE_B ( "READ_FIRST" ), + .INITP_04 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_02 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_03 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_05 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_07 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_01 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_00 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_06 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .DATA_WIDTH_A ( 4 ), + .DATA_WIDTH_B ( 4 ), + .DOA_REG ( 0 ), + .DOB_REG ( 0 ), + .EN_RSTRAM_A ( "TRUE" ), + .EN_RSTRAM_B ( "TRUE" ), + .RST_PRIORITY_A ( "CE" ), + .RST_PRIORITY_B ( "CE" ), + .RSTTYPE ( "SYNC" ), + .SIM_DEVICE ( "SPARTAN6" ), + .INIT_FILE ( "microblaze_mcs.lmb_bram_5.mem" )) + \U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1 ( + .REGCEA(\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_REGCEA_UNCONNECTED ), + .CLKA(Clk), + .ENB(\U0/dlmb_M_AddrStrobe ), + .RSTB(NlwRenamedSig_OI_GPI3_Interrupt), + .CLKB(Clk), + .REGCEB(\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_REGCEB_UNCONNECTED ), + .RSTA(NlwRenamedSig_OI_GPI3_Interrupt), + .ENA(\U0/ilmb_M_AddrStrobe ), + .DIPA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIPA<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIPA<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIPA<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIPA<0>_UNCONNECTED }), + .WEA({NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt}), + .DOA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOA<4>_UNCONNECTED , \U0/ilmb_port_BRAM_Din [20], \U0/ilmb_port_BRAM_Din [21], +\U0/ilmb_port_BRAM_Din [22], \U0/ilmb_port_BRAM_Din [23]}), + .ADDRA({\U0/ilmb_M_ABus [18], \U0/ilmb_M_ABus [19], \U0/ilmb_M_ABus [20], \U0/ilmb_M_ABus [21], \U0/ilmb_M_ABus [22], \U0/ilmb_M_ABus [23], +\U0/ilmb_M_ABus [24], \U0/ilmb_M_ABus [25], \U0/ilmb_M_ABus [26], \U0/ilmb_M_ABus [27], \U0/ilmb_M_ABus [28], \U0/ilmb_M_ABus [29], +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_ADDRA<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_ADDRA<0>_UNCONNECTED }), + .ADDRB({\U0/dlmb_M_ABus [18], \U0/dlmb_M_ABus [19], \U0/dlmb_M_ABus [20], \U0/dlmb_M_ABus [21], \U0/dlmb_M_ABus [22], \U0/dlmb_M_ABus [23], +\U0/dlmb_M_ABus [24], \U0/dlmb_M_ABus [25], \U0/dlmb_M_ABus [26], \U0/dlmb_M_ABus [27], \U0/dlmb_M_ABus [28], \U0/dlmb_M_ABus [29], +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_ADDRB<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_ADDRB<0>_UNCONNECTED }), + .DIB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIB<4>_UNCONNECTED , \U0/dlmb_M_DBus [20], \U0/dlmb_M_DBus [21], +\U0/dlmb_M_DBus [22], \U0/dlmb_M_DBus [23]}), + .DOPA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOPA<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOPA<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOPA<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOPA<0>_UNCONNECTED }), + .DIPB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIPB<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIPB<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIPB<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIPB<0>_UNCONNECTED }), + .DOPB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOPB<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOPB<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOPB<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOPB<0>_UNCONNECTED }), + .DOB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DOB<4>_UNCONNECTED , \U0/dlmb_port_BRAM_Din [20], \U0/dlmb_port_BRAM_Din [21], +\U0/dlmb_port_BRAM_Din [22], \U0/dlmb_port_BRAM_Din [23]}), + .WEB({\U0/dlmb_port_BRAM_WEN [2], \U0/dlmb_port_BRAM_WEN [2], \U0/dlmb_port_BRAM_WEN [2], \U0/dlmb_port_BRAM_WEN [2]}), + .DIA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1_DIA<4>_UNCONNECTED , NlwRenamedSig_OI_GPI3_Interrupt, +NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt}) + ); + RAMB16BWER #( + .INIT_00 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_01 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_02 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_03 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_04 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_05 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_06 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_07 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_08 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_09 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_10 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_11 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_12 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_13 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_14 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_15 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_16 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_17 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_18 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_19 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_20 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_21 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_22 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_23 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_24 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_25 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_26 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_27 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_28 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_29 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_30 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_31 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_32 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_33 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_34 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_35 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_36 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_37 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_38 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_39 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_A ( 36'h000000000 ), + .INIT_B ( 36'h000000000 ), + .SIM_COLLISION_CHECK ( "NONE" ), + .SRVAL_A ( 36'h000000000 ), + .SRVAL_B ( 36'h000000000 ), + .WRITE_MODE_A ( "READ_FIRST" ), + .WRITE_MODE_B ( "READ_FIRST" ), + .INITP_04 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_02 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_03 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_05 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_07 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_01 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_00 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_06 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .DATA_WIDTH_A ( 4 ), + .DATA_WIDTH_B ( 4 ), + .DOA_REG ( 0 ), + .DOB_REG ( 0 ), + .EN_RSTRAM_A ( "TRUE" ), + .EN_RSTRAM_B ( "TRUE" ), + .RST_PRIORITY_A ( "CE" ), + .RST_PRIORITY_B ( "CE" ), + .RSTTYPE ( "SYNC" ), + .SIM_DEVICE ( "SPARTAN6" ), + .INIT_FILE ( "microblaze_mcs.lmb_bram_4.mem" )) + \U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1 ( + .REGCEA(\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_REGCEA_UNCONNECTED ), + .CLKA(Clk), + .ENB(\U0/dlmb_M_AddrStrobe ), + .RSTB(NlwRenamedSig_OI_GPI3_Interrupt), + .CLKB(Clk), + .REGCEB(\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_REGCEB_UNCONNECTED ), + .RSTA(NlwRenamedSig_OI_GPI3_Interrupt), + .ENA(\U0/ilmb_M_AddrStrobe ), + .DIPA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIPA<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIPA<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIPA<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIPA<0>_UNCONNECTED }), + .WEA({NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt}), + .DOA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOA<4>_UNCONNECTED , \U0/ilmb_port_BRAM_Din [16], \U0/ilmb_port_BRAM_Din [17], +\U0/ilmb_port_BRAM_Din [18], \U0/ilmb_port_BRAM_Din [19]}), + .ADDRA({\U0/ilmb_M_ABus [18], \U0/ilmb_M_ABus [19], \U0/ilmb_M_ABus [20], \U0/ilmb_M_ABus [21], \U0/ilmb_M_ABus [22], \U0/ilmb_M_ABus [23], +\U0/ilmb_M_ABus [24], \U0/ilmb_M_ABus [25], \U0/ilmb_M_ABus [26], \U0/ilmb_M_ABus [27], \U0/ilmb_M_ABus [28], \U0/ilmb_M_ABus [29], +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_ADDRA<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_ADDRA<0>_UNCONNECTED }), + .ADDRB({\U0/dlmb_M_ABus [18], \U0/dlmb_M_ABus [19], \U0/dlmb_M_ABus [20], \U0/dlmb_M_ABus [21], \U0/dlmb_M_ABus [22], \U0/dlmb_M_ABus [23], +\U0/dlmb_M_ABus [24], \U0/dlmb_M_ABus [25], \U0/dlmb_M_ABus [26], \U0/dlmb_M_ABus [27], \U0/dlmb_M_ABus [28], \U0/dlmb_M_ABus [29], +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_ADDRB<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_ADDRB<0>_UNCONNECTED }), + .DIB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIB<4>_UNCONNECTED , \U0/dlmb_M_DBus [16], \U0/dlmb_M_DBus [17], +\U0/dlmb_M_DBus [18], \U0/dlmb_M_DBus [19]}), + .DOPA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOPA<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOPA<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOPA<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOPA<0>_UNCONNECTED }), + .DIPB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIPB<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIPB<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIPB<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIPB<0>_UNCONNECTED }), + .DOPB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOPB<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOPB<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOPB<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOPB<0>_UNCONNECTED }), + .DOB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DOB<4>_UNCONNECTED , \U0/dlmb_port_BRAM_Din [16], \U0/dlmb_port_BRAM_Din [17], +\U0/dlmb_port_BRAM_Din [18], \U0/dlmb_port_BRAM_Din [19]}), + .WEB({\U0/dlmb_port_BRAM_WEN [2], \U0/dlmb_port_BRAM_WEN [2], \U0/dlmb_port_BRAM_WEN [2], \U0/dlmb_port_BRAM_WEN [2]}), + .DIA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1_DIA<4>_UNCONNECTED , NlwRenamedSig_OI_GPI3_Interrupt, +NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt}) + ); + RAMB16BWER #( + .INIT_00 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_01 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_02 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_03 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_04 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_05 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_06 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_07 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_08 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_09 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_10 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_11 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_12 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_13 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_14 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_15 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_16 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_17 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_18 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_19 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_20 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_21 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_22 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_23 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_24 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_25 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_26 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_27 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_28 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_29 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_30 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_31 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_32 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_33 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_34 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_35 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_36 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_37 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_38 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_39 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_A ( 36'h000000000 ), + .INIT_B ( 36'h000000000 ), + .SIM_COLLISION_CHECK ( "NONE" ), + .SRVAL_A ( 36'h000000000 ), + .SRVAL_B ( 36'h000000000 ), + .WRITE_MODE_A ( "READ_FIRST" ), + .WRITE_MODE_B ( "READ_FIRST" ), + .INITP_04 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_02 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_03 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_05 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_07 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_01 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_00 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_06 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .DATA_WIDTH_A ( 4 ), + .DATA_WIDTH_B ( 4 ), + .DOA_REG ( 0 ), + .DOB_REG ( 0 ), + .EN_RSTRAM_A ( "TRUE" ), + .EN_RSTRAM_B ( "TRUE" ), + .RST_PRIORITY_A ( "CE" ), + .RST_PRIORITY_B ( "CE" ), + .RSTTYPE ( "SYNC" ), + .SIM_DEVICE ( "SPARTAN6" ), + .INIT_FILE ( "microblaze_mcs.lmb_bram_3.mem" )) + \U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1 ( + .REGCEA(\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_REGCEA_UNCONNECTED ), + .CLKA(Clk), + .ENB(\U0/dlmb_M_AddrStrobe ), + .RSTB(NlwRenamedSig_OI_GPI3_Interrupt), + .CLKB(Clk), + .REGCEB(\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_REGCEB_UNCONNECTED ), + .RSTA(NlwRenamedSig_OI_GPI3_Interrupt), + .ENA(\U0/ilmb_M_AddrStrobe ), + .DIPA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIPA<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIPA<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIPA<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIPA<0>_UNCONNECTED }), + .WEA({NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt}), + .DOA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOA<4>_UNCONNECTED , \U0/ilmb_port_BRAM_Din [12], \U0/ilmb_port_BRAM_Din [13], +\U0/ilmb_port_BRAM_Din [14], \U0/ilmb_port_BRAM_Din [15]}), + .ADDRA({\U0/ilmb_M_ABus [18], \U0/ilmb_M_ABus [19], \U0/ilmb_M_ABus [20], \U0/ilmb_M_ABus [21], \U0/ilmb_M_ABus [22], \U0/ilmb_M_ABus [23], +\U0/ilmb_M_ABus [24], \U0/ilmb_M_ABus [25], \U0/ilmb_M_ABus [26], \U0/ilmb_M_ABus [27], \U0/ilmb_M_ABus [28], \U0/ilmb_M_ABus [29], +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_ADDRA<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_ADDRA<0>_UNCONNECTED }), + .ADDRB({\U0/dlmb_M_ABus [18], \U0/dlmb_M_ABus [19], \U0/dlmb_M_ABus [20], \U0/dlmb_M_ABus [21], \U0/dlmb_M_ABus [22], \U0/dlmb_M_ABus [23], +\U0/dlmb_M_ABus [24], \U0/dlmb_M_ABus [25], \U0/dlmb_M_ABus [26], \U0/dlmb_M_ABus [27], \U0/dlmb_M_ABus [28], \U0/dlmb_M_ABus [29], +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_ADDRB<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_ADDRB<0>_UNCONNECTED }), + .DIB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIB<4>_UNCONNECTED , \U0/dlmb_M_DBus [12], \U0/dlmb_M_DBus [13], +\U0/dlmb_M_DBus [14], \U0/dlmb_M_DBus [15]}), + .DOPA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOPA<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOPA<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOPA<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOPA<0>_UNCONNECTED }), + .DIPB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIPB<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIPB<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIPB<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIPB<0>_UNCONNECTED }), + .DOPB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOPB<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOPB<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOPB<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOPB<0>_UNCONNECTED }), + .DOB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DOB<4>_UNCONNECTED , \U0/dlmb_port_BRAM_Din [12], \U0/dlmb_port_BRAM_Din [13], +\U0/dlmb_port_BRAM_Din [14], \U0/dlmb_port_BRAM_Din [15]}), + .WEB({\U0/dlmb_port_BRAM_WEN [1], \U0/dlmb_port_BRAM_WEN [1], \U0/dlmb_port_BRAM_WEN [1], \U0/dlmb_port_BRAM_WEN [1]}), + .DIA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1_DIA<4>_UNCONNECTED , NlwRenamedSig_OI_GPI3_Interrupt, +NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt}) + ); + RAMB16BWER #( + .INIT_00 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_01 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_02 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_03 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_04 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_05 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_06 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_07 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_08 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_09 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_10 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_11 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_12 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_13 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_14 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_15 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_16 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_17 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_18 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_19 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_20 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_21 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_22 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_23 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_24 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_25 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_26 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_27 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_28 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_29 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_30 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_31 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_32 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_33 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_34 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_35 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_36 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_37 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_38 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_39 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_A ( 36'h000000000 ), + .INIT_B ( 36'h000000000 ), + .SIM_COLLISION_CHECK ( "NONE" ), + .SRVAL_A ( 36'h000000000 ), + .SRVAL_B ( 36'h000000000 ), + .WRITE_MODE_A ( "READ_FIRST" ), + .WRITE_MODE_B ( "READ_FIRST" ), + .INITP_04 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_02 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_03 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_05 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_07 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_01 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_00 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_06 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .DATA_WIDTH_A ( 4 ), + .DATA_WIDTH_B ( 4 ), + .DOA_REG ( 0 ), + .DOB_REG ( 0 ), + .EN_RSTRAM_A ( "TRUE" ), + .EN_RSTRAM_B ( "TRUE" ), + .RST_PRIORITY_A ( "CE" ), + .RST_PRIORITY_B ( "CE" ), + .RSTTYPE ( "SYNC" ), + .SIM_DEVICE ( "SPARTAN6" ), + .INIT_FILE ( "microblaze_mcs.lmb_bram_2.mem" )) + \U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1 ( + .REGCEA(\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_REGCEA_UNCONNECTED ), + .CLKA(Clk), + .ENB(\U0/dlmb_M_AddrStrobe ), + .RSTB(NlwRenamedSig_OI_GPI3_Interrupt), + .CLKB(Clk), + .REGCEB(\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_REGCEB_UNCONNECTED ), + .RSTA(NlwRenamedSig_OI_GPI3_Interrupt), + .ENA(\U0/ilmb_M_AddrStrobe ), + .DIPA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIPA<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIPA<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIPA<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIPA<0>_UNCONNECTED }), + .WEA({NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt}), + .DOA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOA<4>_UNCONNECTED , \U0/ilmb_port_BRAM_Din [8], \U0/ilmb_port_BRAM_Din [9], +\U0/ilmb_port_BRAM_Din [10], \U0/ilmb_port_BRAM_Din [11]}), + .ADDRA({\U0/ilmb_M_ABus [18], \U0/ilmb_M_ABus [19], \U0/ilmb_M_ABus [20], \U0/ilmb_M_ABus [21], \U0/ilmb_M_ABus [22], \U0/ilmb_M_ABus [23], +\U0/ilmb_M_ABus [24], \U0/ilmb_M_ABus [25], \U0/ilmb_M_ABus [26], \U0/ilmb_M_ABus [27], \U0/ilmb_M_ABus [28], \U0/ilmb_M_ABus [29], +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_ADDRA<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_ADDRA<0>_UNCONNECTED }), + .ADDRB({\U0/dlmb_M_ABus [18], \U0/dlmb_M_ABus [19], \U0/dlmb_M_ABus [20], \U0/dlmb_M_ABus [21], \U0/dlmb_M_ABus [22], \U0/dlmb_M_ABus [23], +\U0/dlmb_M_ABus [24], \U0/dlmb_M_ABus [25], \U0/dlmb_M_ABus [26], \U0/dlmb_M_ABus [27], \U0/dlmb_M_ABus [28], \U0/dlmb_M_ABus [29], +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_ADDRB<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_ADDRB<0>_UNCONNECTED }), + .DIB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIB<4>_UNCONNECTED , \U0/dlmb_M_DBus [8], \U0/dlmb_M_DBus [9], \U0/dlmb_M_DBus [10] +, \U0/dlmb_M_DBus [11]}), + .DOPA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOPA<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOPA<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOPA<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOPA<0>_UNCONNECTED }), + .DIPB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIPB<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIPB<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIPB<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIPB<0>_UNCONNECTED }), + .DOPB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOPB<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOPB<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOPB<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOPB<0>_UNCONNECTED }), + .DOB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DOB<4>_UNCONNECTED , \U0/dlmb_port_BRAM_Din [8], \U0/dlmb_port_BRAM_Din [9], +\U0/dlmb_port_BRAM_Din [10], \U0/dlmb_port_BRAM_Din [11]}), + .WEB({\U0/dlmb_port_BRAM_WEN [1], \U0/dlmb_port_BRAM_WEN [1], \U0/dlmb_port_BRAM_WEN [1], \U0/dlmb_port_BRAM_WEN [1]}), + .DIA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1_DIA<4>_UNCONNECTED , NlwRenamedSig_OI_GPI3_Interrupt, +NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt}) + ); + RAMB16BWER #( + .INIT_00 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_01 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_02 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_03 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_04 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_05 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_06 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_07 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_08 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_09 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_10 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_11 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_12 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_13 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_14 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_15 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_16 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_17 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_18 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_19 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_20 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_21 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_22 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_23 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_24 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_25 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_26 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_27 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_28 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_29 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_30 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_31 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_32 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_33 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_34 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_35 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_36 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_37 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_38 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_39 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_A ( 36'h000000000 ), + .INIT_B ( 36'h000000000 ), + .SIM_COLLISION_CHECK ( "NONE" ), + .SRVAL_A ( 36'h000000000 ), + .SRVAL_B ( 36'h000000000 ), + .WRITE_MODE_A ( "READ_FIRST" ), + .WRITE_MODE_B ( "READ_FIRST" ), + .INITP_04 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_02 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_03 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_05 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_07 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_01 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_00 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_06 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .DATA_WIDTH_A ( 4 ), + .DATA_WIDTH_B ( 4 ), + .DOA_REG ( 0 ), + .DOB_REG ( 0 ), + .EN_RSTRAM_A ( "TRUE" ), + .EN_RSTRAM_B ( "TRUE" ), + .RST_PRIORITY_A ( "CE" ), + .RST_PRIORITY_B ( "CE" ), + .RSTTYPE ( "SYNC" ), + .SIM_DEVICE ( "SPARTAN6" ), + .INIT_FILE ( "microblaze_mcs.lmb_bram_1.mem" )) + \U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1 ( + .REGCEA(\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_REGCEA_UNCONNECTED ), + .CLKA(Clk), + .ENB(\U0/dlmb_M_AddrStrobe ), + .RSTB(NlwRenamedSig_OI_GPI3_Interrupt), + .CLKB(Clk), + .REGCEB(\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_REGCEB_UNCONNECTED ), + .RSTA(NlwRenamedSig_OI_GPI3_Interrupt), + .ENA(\U0/ilmb_M_AddrStrobe ), + .DIPA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIPA<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIPA<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIPA<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIPA<0>_UNCONNECTED }), + .WEA({NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt}), + .DOA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOA<4>_UNCONNECTED , \U0/ilmb_port_BRAM_Din [4], \U0/ilmb_port_BRAM_Din [5], +\U0/ilmb_port_BRAM_Din [6], \U0/ilmb_port_BRAM_Din [7]}), + .ADDRA({\U0/ilmb_M_ABus [18], \U0/ilmb_M_ABus [19], \U0/ilmb_M_ABus [20], \U0/ilmb_M_ABus [21], \U0/ilmb_M_ABus [22], \U0/ilmb_M_ABus [23], +\U0/ilmb_M_ABus [24], \U0/ilmb_M_ABus [25], \U0/ilmb_M_ABus [26], \U0/ilmb_M_ABus [27], \U0/ilmb_M_ABus [28], \U0/ilmb_M_ABus [29], +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_ADDRA<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_ADDRA<0>_UNCONNECTED }), + .ADDRB({\U0/dlmb_M_ABus [18], \U0/dlmb_M_ABus [19], \U0/dlmb_M_ABus [20], \U0/dlmb_M_ABus [21], \U0/dlmb_M_ABus [22], \U0/dlmb_M_ABus [23], +\U0/dlmb_M_ABus [24], \U0/dlmb_M_ABus [25], \U0/dlmb_M_ABus [26], \U0/dlmb_M_ABus [27], \U0/dlmb_M_ABus [28], \U0/dlmb_M_ABus [29], +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_ADDRB<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_ADDRB<0>_UNCONNECTED }), + .DIB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIB<4>_UNCONNECTED , \U0/dlmb_M_DBus [4], \U0/dlmb_M_DBus [5], \U0/dlmb_M_DBus [6], +\U0/dlmb_M_DBus [7]}), + .DOPA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOPA<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOPA<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOPA<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOPA<0>_UNCONNECTED }), + .DIPB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIPB<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIPB<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIPB<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIPB<0>_UNCONNECTED }), + .DOPB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOPB<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOPB<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOPB<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOPB<0>_UNCONNECTED }), + .DOB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DOB<4>_UNCONNECTED , \U0/dlmb_port_BRAM_Din [4], \U0/dlmb_port_BRAM_Din [5], +\U0/dlmb_port_BRAM_Din [6], \U0/dlmb_port_BRAM_Din [7]}), + .WEB({\U0/dlmb_port_BRAM_WEN [0], \U0/dlmb_port_BRAM_WEN [0], \U0/dlmb_port_BRAM_WEN [0], \U0/dlmb_port_BRAM_WEN [0]}), + .DIA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1_DIA<4>_UNCONNECTED , NlwRenamedSig_OI_GPI3_Interrupt, +NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt}) + ); + RAMB16BWER #( + .INIT_00 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_01 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_02 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_03 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_04 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_05 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_06 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_07 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_08 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_09 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_0F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_10 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_11 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_12 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_13 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_14 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_15 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_16 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_17 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_18 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_19 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_1F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_20 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_21 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_22 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_23 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_24 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_25 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_26 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_27 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_28 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_29 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_2F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_30 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_31 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_32 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_33 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_34 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_35 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_36 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_37 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_38 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_39 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3A ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3B ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3C ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3D ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3E ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_3F ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INIT_A ( 36'h000000000 ), + .INIT_B ( 36'h000000000 ), + .SIM_COLLISION_CHECK ( "NONE" ), + .SRVAL_A ( 36'h000000000 ), + .SRVAL_B ( 36'h000000000 ), + .WRITE_MODE_A ( "READ_FIRST" ), + .WRITE_MODE_B ( "READ_FIRST" ), + .INITP_04 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_02 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_03 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_05 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_07 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_01 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_00 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .INITP_06 ( 256'h0000000000000000000000000000000000000000000000000000000000000000 ), + .DATA_WIDTH_A ( 4 ), + .DATA_WIDTH_B ( 4 ), + .DOA_REG ( 0 ), + .DOB_REG ( 0 ), + .EN_RSTRAM_A ( "TRUE" ), + .EN_RSTRAM_B ( "TRUE" ), + .RST_PRIORITY_A ( "CE" ), + .RST_PRIORITY_B ( "CE" ), + .RSTTYPE ( "SYNC" ), + .SIM_DEVICE ( "SPARTAN6" ), + .INIT_FILE ( "microblaze_mcs.lmb_bram_0.mem" )) + \U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1 ( + .REGCEA(\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_REGCEA_UNCONNECTED ), + .CLKA(Clk), + .ENB(\U0/dlmb_M_AddrStrobe ), + .RSTB(NlwRenamedSig_OI_GPI3_Interrupt), + .CLKB(Clk), + .REGCEB(\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_REGCEB_UNCONNECTED ), + .RSTA(NlwRenamedSig_OI_GPI3_Interrupt), + .ENA(\U0/ilmb_M_AddrStrobe ), + .DIPA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIPA<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIPA<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIPA<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIPA<0>_UNCONNECTED }), + .WEA({NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt}), + .DOA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOA<4>_UNCONNECTED , \U0/ilmb_port_BRAM_Din [0], \U0/ilmb_port_BRAM_Din [1], +\U0/ilmb_port_BRAM_Din [2], \U0/ilmb_port_BRAM_Din [3]}), + .ADDRA({\U0/ilmb_M_ABus [18], \U0/ilmb_M_ABus [19], \U0/ilmb_M_ABus [20], \U0/ilmb_M_ABus [21], \U0/ilmb_M_ABus [22], \U0/ilmb_M_ABus [23], +\U0/ilmb_M_ABus [24], \U0/ilmb_M_ABus [25], \U0/ilmb_M_ABus [26], \U0/ilmb_M_ABus [27], \U0/ilmb_M_ABus [28], \U0/ilmb_M_ABus [29], +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_ADDRA<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_ADDRA<0>_UNCONNECTED }), + .ADDRB({\U0/dlmb_M_ABus [18], \U0/dlmb_M_ABus [19], \U0/dlmb_M_ABus [20], \U0/dlmb_M_ABus [21], \U0/dlmb_M_ABus [22], \U0/dlmb_M_ABus [23], +\U0/dlmb_M_ABus [24], \U0/dlmb_M_ABus [25], \U0/dlmb_M_ABus [26], \U0/dlmb_M_ABus [27], \U0/dlmb_M_ABus [28], \U0/dlmb_M_ABus [29], +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_ADDRB<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_ADDRB<0>_UNCONNECTED }), + .DIB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIB<4>_UNCONNECTED , \U0/dlmb_M_DBus [0], \U0/dlmb_M_DBus [1], \U0/dlmb_M_DBus [2], +\U0/dlmb_M_DBus [3]}), + .DOPA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOPA<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOPA<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOPA<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOPA<0>_UNCONNECTED }), + .DIPB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIPB<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIPB<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIPB<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIPB<0>_UNCONNECTED }), + .DOPB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOPB<3>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOPB<2>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOPB<1>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOPB<0>_UNCONNECTED }), + .DOB({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DOB<4>_UNCONNECTED , \U0/dlmb_port_BRAM_Din [0], \U0/dlmb_port_BRAM_Din [1], +\U0/dlmb_port_BRAM_Din [2], \U0/dlmb_port_BRAM_Din [3]}), + .WEB({\U0/dlmb_port_BRAM_WEN [0], \U0/dlmb_port_BRAM_WEN [0], \U0/dlmb_port_BRAM_WEN [0], \U0/dlmb_port_BRAM_WEN [0]}), + .DIA({\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<31>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<30>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<29>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<28>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<27>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<26>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<25>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<24>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<23>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<22>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<21>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<20>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<19>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<18>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<17>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<16>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<15>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<14>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<13>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<12>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<11>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<10>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<9>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<8>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<7>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<6>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<5>_UNCONNECTED , +\NLW_U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1_DIA<4>_UNCONNECTED , NlwRenamedSig_OI_GPI3_Interrupt, +NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt, NlwRenamedSig_OI_GPI3_Interrupt}) + ); + +// synthesis translate_on + +endmodule + +// synthesis translate_off + +`ifndef GLBL +`define GLBL + +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (weak1, weak0) GSR = GSR_int; + assign (weak1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + +endmodule + +`endif + +// synthesis translate_on + diff --git a/ipcore_dir/microblaze_mcs.veo b/ipcore_dir/microblaze_mcs.veo new file mode 100644 index 0000000..920df86 --- /dev/null +++ b/ipcore_dir/microblaze_mcs.veo @@ -0,0 +1,81 @@ +/******************************************************************************* +* This file is owned and controlled by Xilinx and must be used solely * +* for design, simulation, implementation and creation of design files * +* limited to Xilinx devices or technologies. Use with non-Xilinx * +* devices or technologies is expressly prohibited and immediately * +* terminates your license. * +* * +* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY * +* FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY * +* PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE * +* IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS * +* MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY * +* CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY * +* RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY * +* DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * +* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * +* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * +* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A * +* PARTICULAR PURPOSE. * +* * +* Xilinx products are not intended for use in life support appliances, * +* devices, or systems. Use in such applications are expressly * +* prohibited. * +* * +* (c) Copyright 1995-2020 Xilinx, Inc. * +* All rights reserved. * +*******************************************************************************/ + +/******************************************************************************* +* Generated from core with identifier: xilinx.com:ip:microblaze_mcs:1.4 * +* * +* MicroBlaze Micro Controller System (MCS) is a light-weight general * +* purpose micro controller system, based on the MicroBlaze processor. * +* It is primarily intended for simple control applications, where a * +* hardware solution would be less flexible and more difficult to * +* implement. Software development with the Xilinx Software Development * +* Kit (SDK) is supported, including a software driver for the * +* peripherals. Debugging is available either via SDK or directly with * +* the Xilinx Microprocessor Debugger. * +* * +* The MCS consists of the processor itself, local memory with sizes * +* ranging from 4KB to 64KB, up to 4 Fixed Interval Timers, up to 4 * +* Programmable Interval Timers, up to 4 32-bit General Purpose Output * +* ports, up to 4 32-bit General Purpose Input ports, and an Interrupt * +* Controller with up to 16 external interrupt inputs. * +* * +*******************************************************************************/ + +// Interfaces: +// IO_BUS +// MicroBlaze MCS IO Bus Interface +// TRACE +// MicroBlaze MCS Trace Interface + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +microblaze_mcs your_instance_name ( + .Clk(Clk), // input Clk + .Reset(Reset), // input Reset + .GPO1(GPO1), // output [15 : 0] GPO1 + .GPO2(GPO2), // output [15 : 0] GPO2 + .GPO3(GPO3), // output [0 : 0] GPO3 + .GPO4(GPO4), // output [5 : 0] GPO4 + .GPI1(GPI1), // input [7 : 0] GPI1 + .GPI1_Interrupt(GPI1_Interrupt), // output GPI1_Interrupt + .GPI2(GPI2), // input [0 : 0] GPI2 + .GPI2_Interrupt(GPI2_Interrupt), // output GPI2_Interrupt + .GPI3(GPI3), // input [1 : 0] GPI3 + .GPI3_Interrupt(GPI3_Interrupt), // output GPI3_Interrupt + .INTC_IRQ(INTC_IRQ) // output INTC_IRQ +); +// INST_TAG_END ------ End INSTANTIATION Template --------- + +// You must compile the wrapper file microblaze_mcs.v when simulating +// the core, microblaze_mcs. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + diff --git a/ipcore_dir/microblaze_mcs.xco b/ipcore_dir/microblaze_mcs.xco new file mode 100644 index 0000000..7641ec3 --- /dev/null +++ b/ipcore_dir/microblaze_mcs.xco @@ -0,0 +1,126 @@ +############################################################## +# +# Xilinx Core Generator version 14.7 +# Date: Sat Sep 19 21:29:32 2020 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:microblaze_mcs:1.4 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx9 +SET devicefamily = spartan6 +SET flowvendor = Other +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = tqg144 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -2 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT MicroBlaze_MCS xilinx.com:ip:microblaze_mcs:1.4 +# END Select +# BEGIN Parameters +CSET component_name=microblaze_mcs +CSET debug_enabled=false +CSET fit1_interrupt=false +CSET fit1_no_clocks=6216 +CSET fit2_interrupt=false +CSET fit2_no_clocks=6216 +CSET fit3_interrupt=false +CSET fit3_no_clocks=6216 +CSET fit4_interrupt=false +CSET fit4_no_clocks=6216 +CSET freq=150 +CSET gpi1_interrupt=None +CSET gpi1_size=8 +CSET gpi2_interrupt=Falling_Edge +CSET gpi2_size=1 +CSET gpi3_interrupt=None +CSET gpi3_size=2 +CSET gpi4_interrupt=None +CSET gpi4_size=32 +CSET gpo1_init=0x00000000 +CSET gpo1_size=16 +CSET gpo2_init=0x00000000 +CSET gpo2_size=16 +CSET gpo3_init=0x00000000 +CSET gpo3_size=1 +CSET gpo4_init=0x00000000 +CSET gpo4_size=6 +CSET intc_intr_size=1 +CSET intc_level_edge=0x0000 +CSET intc_positive=0xFFFF +CSET intc_use_ext_intr=false +CSET jtag_chain=USER2 +CSET memsize=16KB +CSET microblaze_instance=microblaze_mcs_v1_4 +CSET path=mcs_0 +CSET pit1_interrupt=false +CSET pit1_prescaler=None +CSET pit1_readable=true +CSET pit1_size=32 +CSET pit2_interrupt=false +CSET pit2_prescaler=None +CSET pit2_readable=true +CSET pit2_size=32 +CSET pit3_interrupt=false +CSET pit3_prescaler=None +CSET pit3_readable=true +CSET pit3_size=32 +CSET pit4_interrupt=false +CSET pit4_prescaler=None +CSET pit4_readable=true +CSET pit4_size=32 +CSET trace=false +CSET uart_baudrate=9600 +CSET uart_data_bits=8 +CSET uart_error_interrupt=false +CSET uart_odd_parity=Even +CSET uart_prog_baudrate=false +CSET uart_rx_interrupt=false +CSET uart_tx_interrupt=false +CSET uart_use_parity=false +CSET use_fit1=false +CSET use_fit2=false +CSET use_fit3=false +CSET use_fit4=false +CSET use_gpi1=true +CSET use_gpi2=true +CSET use_gpi3=true +CSET use_gpi4=false +CSET use_gpo1=true +CSET use_gpo2=true +CSET use_gpo3=true +CSET use_gpo4=true +CSET use_io_bus=false +CSET use_pit1=false +CSET use_pit2=false +CSET use_pit3=false +CSET use_pit4=false +CSET use_uart_rx=false +CSET use_uart_tx=false +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2012-11-21T08:11:43Z +# END Extra information +GENERATE +# CRC: d8973b25 diff --git a/ipcore_dir/microblaze_mcs.xise b/ipcore_dir/microblaze_mcs.xise new file mode 100644 index 0000000..9148b96 --- /dev/null +++ b/ipcore_dir/microblaze_mcs.xise @@ -0,0 +1,415 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
diff --git a/ipcore_dir/microblaze_mcs/mb_bootloop_le.elf b/ipcore_dir/microblaze_mcs/mb_bootloop_le.elf new file mode 100644 index 0000000..d8ff6c4 Binary files /dev/null and b/ipcore_dir/microblaze_mcs/mb_bootloop_le.elf differ diff --git a/ipcore_dir/microblaze_mcs/microblaze_mcs_setup.tcl b/ipcore_dir/microblaze_mcs/microblaze_mcs_setup.tcl new file mode 100644 index 0000000..2341e86 --- /dev/null +++ b/ipcore_dir/microblaze_mcs/microblaze_mcs_setup.tcl @@ -0,0 +1,539 @@ +############################################################################### +## +## (c) Copyright 2012 Xilinx, Inc. All rights reserved. +## +## This file contains confidential and proprietary information +## of Xilinx, Inc. and is protected under U.S. and +## international copyright and other intellectual property +## laws. +## +## DISCLAIMER +## This disclaimer is not a license and does not grant any +## rights to the materials distributed herewith. Except as +## otherwise provided in a valid license issued to you by +## Xilinx, and to the maximum extent permitted by applicable +## law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +## WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +## AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +## BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +## INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +## (2) Xilinx shall not be liable (whether in contract or tort, +## including negligence, or under any other theory of +## liability) for any loss or damage of any kind or nature +## related to, arising under or in connection with these +## materials, including for any direct, or any indirect, +## special, incidental, or consequential loss or damage +## (including loss of data, profits, goodwill, or any type of +## loss or damage suffered as a result of any action brought +## by a third party) even if such damage or loss was +## reasonably foreseeable or Xilinx had been advised of the +## possibility of the same. +## +## CRITICAL APPLICATIONS +## Xilinx products are not designed or intended to be fail- +## safe, or for use in any application requiring fail-safe +## performance, such as life-support or safety devices or +## systems, Class III medical devices, nuclear facilities, +## applications related to the deployment of airbags, or any +## other applications that could lead to death, personal +## injury, or severe property or environmental damage +## (individually and collectively, "Critical +## Applications"). Customer assumes the sole risk and +## liability of any use of Xilinx products in Critical +## Applications, subject only to applicable laws and +## regulations governing limitations on product liability. +## +## THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +## PART OF THIS FILE AT ALL TIMES. +## +############################################################################### +## +## microblaze_mcs_setup.tcl +## +############################################################################### +# +# This script should be sourced after CORE Generator has been used to generate +# a MicroBlaze MCS instance, either when creating a new or changing an existing +# instance. +# +# Run the script in the PlanAhead Tcl Console by typically using: +# +# source -notrace \ +# project_1.srcs/sources_1/ip/microblaze_mcs_v1_4_0/microblaze_mcs_setup.tcl +# +# Run the script in the Project Navigator Tcl Console by typically using: +# +# Command> source ipcore_dir/microblaze_mcs_setup.tcl +# +# Use the menu command "View -> Panels -> Tcl Console" to show the Tcl Console +# in the Project Navigator, if it is not visible. +# +############################################################################### +# +# This script contains two exported Tcl procedures: +# +# o The first, "microblaze_mcs_setup", is used to create a merged BMM file, +# which defines the local memory of all MicroBlaze MCS instances in the +# project (if more than one instance), and set Translate process properties +# to add the "-bm" option indicating the used BMM file. +# +# The procedure is automatically invoked when sourcing this script, but +# can also subsequently be invoked with "microblaze_mcs_setup". +# +# The procedure should be invoked before running implementation, but after +# the MicroBlaze MCS instance has been generated. +# +# o The second, "microblaze_mcs_data2mem", is used to update the bit stream +# with one or more ELF files (software programs) given as arguments, generate +# corresponding MEM files for simulation, and set Bitgen process properties +# to add the "-bd" option indicating the ELF files. +# +# If no argument is given, the bit stream is updated with the microblaze +# boot loop ELF file, which ensures that the processor executes an infinite +# loop. +# +# The procedure should be invoked after the system has been implemented. It +# must also be invoked again when an ELF file name is changed, or when the +# content of an ELF file is changed. If the system is reimplemented without +# changing the software, the procedure need not be invoked again, due to the +# Bitgen "-bd" option. +# +############################################################################### + +namespace eval microblaze_mcs { + + # Determine if using planAhead or Project Navigator + proc mcs_using_planahead {} { + return [expr [string first "planAhead" [info nameofexecutable]] != -1] + } + + # Find all MicroBlaze MCS instances in the project + # Return a list of lists with instance name and file name + proc mcs_find_instances {} { + set mcs_instances {} + set xco_filenames {} + if {[mcs_using_planahead]} { + set found [get_files -quiet -filter {IS_ENABLED==1} "*.xci"] + if {$found == ""} { + set found [get_files -quiet -filter {IS_ENABLED==1} "*.xco"] + if {[string first ".xco" $found] + 4 == [string length $found]} { + lappend xco_filenames "$found" + } else { + set xco_filenames $found + } + } elseif {[string first ".xci" $found] + 4 == [string length $found]} { + lappend xco_filenames [string map {.xci .xco} $found] + } else { + foreach item $found { + lappend xco_filenames [string map {.xci .xco} $item] + } + } + } else { + set found [search "*.xco"] + collection foreach item $found { + lappend xco_filenames [object name $item] + } + } + + for {set index 0} {$index < [llength $xco_filenames]} {incr index} { + set xco_filename [lindex $xco_filenames $index] + + # Check if the xco file is a MicroBlaze MCS IP Core + set xco_file [open $xco_filename "r"] + set xco_data [read $xco_file] + close $xco_file + if {[regexp {microblaze_mcs} $xco_data]} { + regexp {CSET component_name=([A-Za-z0-9_]*)} $xco_data match inst + lappend mcs_instances [list $xco_filename $inst] + } + } + return $mcs_instances + } + + # Get current options + proc mcs_get_options {step} { + if {[mcs_using_planahead]} { + set dir [get_property directory [current_project]] + set name [get_property name [current_project]] + set run [current_run -quiet] + set psg_filename "[file join ${dir} ${name}.data runs ${run}.psg]" + if {[file exist $psg_filename]} { + set psg_file [open $psg_filename "r"] + set psg_data [read $psg_file] + close $psg_file + + set search "" + append search {[\n\t ]*