First commit
This commit is contained in:
commit
90e280af07
2
.gitignore
vendored
Normal file
2
.gitignore
vendored
Normal file
|
@ -0,0 +1,2 @@
|
|||
*.log
|
||||
syn
|
45
.project
Normal file
45
.project
Normal file
|
@ -0,0 +1,45 @@
|
|||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<projectDescription>
|
||||
<name>Mojo-Demo</name>
|
||||
<comment></comment>
|
||||
<projects>
|
||||
</projects>
|
||||
<buildSpec>
|
||||
<buildCommand>
|
||||
<name>net.sourceforge.veditor.simulateBuilder</name>
|
||||
<arguments>
|
||||
<dictionary>
|
||||
<key>net.sourceforge.veditor.simulateBuilder.00000000Default.CleanCommand</key>
|
||||
<value>echo 'Clean'</value>
|
||||
</dictionary>
|
||||
<dictionary>
|
||||
<key>net.sourceforge.veditor.simulateBuilder.00000000Default.buildOrder</key>
|
||||
<value>0</value>
|
||||
</dictionary>
|
||||
<dictionary>
|
||||
<key>net.sourceforge.veditor.simulateBuilder.00000000Default.command</key>
|
||||
<value>echo 'No Build Configuration Specified'</value>
|
||||
</dictionary>
|
||||
<dictionary>
|
||||
<key>net.sourceforge.veditor.simulateBuilder.00000000Default.enable</key>
|
||||
<value>false</value>
|
||||
</dictionary>
|
||||
<dictionary>
|
||||
<key>net.sourceforge.veditor.simulateBuilder.00000000Default.name</key>
|
||||
<value>Default</value>
|
||||
</dictionary>
|
||||
<dictionary>
|
||||
<key>net.sourceforge.veditor.simulateBuilder.00000000Default.parser</key>
|
||||
<value></value>
|
||||
</dictionary>
|
||||
<dictionary>
|
||||
<key>net.sourceforge.veditor.simulateBuilder.00000000Default.workFolder</key>
|
||||
<value></value>
|
||||
</dictionary>
|
||||
</arguments>
|
||||
</buildCommand>
|
||||
</buildSpec>
|
||||
<natures>
|
||||
<nature>net.sourceforge.veditor.HdlNature</nature>
|
||||
</natures>
|
||||
</projectDescription>
|
21
LICENSE.txt
Normal file
21
LICENSE.txt
Normal file
|
@ -0,0 +1,21 @@
|
|||
The MIT License (MIT)
|
||||
|
||||
Copyright (c) 2015 Embedded Micro
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
551
Mojo-Base.xise
Normal file
551
Mojo-Base.xise
Normal file
|
@ -0,0 +1,551 @@
|
|||
<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
|
||||
<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
|
||||
|
||||
<header>
|
||||
<!-- ISE source project file created by Project Navigator. -->
|
||||
<!-- -->
|
||||
<!-- This file contains project source information including a list of -->
|
||||
<!-- project source files, project and process properties. This file, -->
|
||||
<!-- along with the project source files, is sufficient to open and -->
|
||||
<!-- implement in ISE Project Navigator. -->
|
||||
<!-- -->
|
||||
<!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
|
||||
</header>
|
||||
|
||||
<version xil_pn:ise_version="14.7" xil_pn:schema_version="2"/>
|
||||
|
||||
<files>
|
||||
<file xil_pn:name="src/mojo.ucf" xil_pn:type="FILE_UCF">
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
|
||||
</file>
|
||||
<file xil_pn:name="src/mojo_top.v" xil_pn:type="FILE_VERILOG">
|
||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="12"/>
|
||||
</file>
|
||||
<file xil_pn:name="ipcore_dir/microblaze_mcs.xco" xil_pn:type="FILE_COREGEN">
|
||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="8"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="7"/>
|
||||
</file>
|
||||
<file xil_pn:name="hdmi_encoder.v" xil_pn:type="FILE_VERILOG">
|
||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="75"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="9"/>
|
||||
</file>
|
||||
<file xil_pn:name="dvi_encoder.v" xil_pn:type="FILE_VERILOG">
|
||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="76"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="6"/>
|
||||
</file>
|
||||
<file xil_pn:name="serdes_n_to_1.v" xil_pn:type="FILE_VERILOG">
|
||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="77"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="4"/>
|
||||
</file>
|
||||
<file xil_pn:name="tmds_encoder.v" xil_pn:type="FILE_VERILOG">
|
||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="78"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="3"/>
|
||||
</file>
|
||||
<file xil_pn:name="fifo_2x_reducer.v" xil_pn:type="FILE_VERILOG">
|
||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="79"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="5"/>
|
||||
</file>
|
||||
<file xil_pn:name="async_fifo.v" xil_pn:type="FILE_VERILOG">
|
||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="80"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="2"/>
|
||||
</file>
|
||||
<file xil_pn:name="simple_dual_ram.v" xil_pn:type="FILE_VERILOG">
|
||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="81"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="1"/>
|
||||
</file>
|
||||
<file xil_pn:name="ipcore_dir/hdmi_clk.xco" xil_pn:type="FILE_COREGEN">
|
||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="78"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="8"/>
|
||||
</file>
|
||||
<file xil_pn:name="char_map.v" xil_pn:type="FILE_VERILOG">
|
||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="85"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="11"/>
|
||||
</file>
|
||||
<file xil_pn:name="color_map.v" xil_pn:type="FILE_VERILOG">
|
||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="88"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="10"/>
|
||||
</file>
|
||||
<file xil_pn:name="ipcore_dir/microblaze_mcs.xise" xil_pn:type="FILE_COREGENISE">
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
|
||||
</file>
|
||||
<file xil_pn:name="ipcore_dir/hdmi_clk.xise" xil_pn:type="FILE_COREGENISE">
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
|
||||
</file>
|
||||
</files>
|
||||
|
||||
<properties>
|
||||
<property xil_pn:name="AES Initial Vector spartan6" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="AES Key (Hex String) spartan6" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Add File to project" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Add I/O Buffers" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Add I/O Pads" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Advanced FSM Optimization" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Allow Logic Optimization Across Hierarchy" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Allow SelectMAP Pins to Persist" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Allow Unexpanded Blocks" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Allow Unmatched Timing Group Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Analysis Effort Level" xil_pn:value="Standard" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Array Bounds Check" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Asynchronous To Synchronous" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Auto Constrain" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Auto Implementation Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Automatic BRAM Packing" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Automatically Insert glbl Module in the Netlist" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Automatically Run Generate Target PROM/ACE File" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="BRAM Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Baud rate" xil_pn:value="None" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Bring Out Global Set/Reset Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Bring Out Global Tristate Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Bus Delimiter" xil_pn:value="<>" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="CLB Pack Factor Percentage" xil_pn:value="100" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Case" xil_pn:value="Maintain" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Case Implementation Style" xil_pn:value="None" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Change Device Speed To" xil_pn:value="-2" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Change Device Speed To Post Trace" xil_pn:value="-2" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Clock Port" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Combinatorial Logic Optimization" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Compile EDK Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Compile SIMPRIM (Timing) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Compile SmartModels (PPC, MGT) Simulation Library" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Compile UNISIM (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Compile XilinxCoreLib (CORE Generator) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Compile for HDL Debugging" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Configuration Clk (Configuration Pins)" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Configuration Name" xil_pn:value="Default" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Configuration Pin Done" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Configuration Pin HSWAPEN" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Configuration Pin M0" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Configuration Pin M1" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Configuration Pin M2" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Configuration Pin Program" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Configuration Rate" xil_pn:value="4" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Configuration Rate spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Convert Tristates To Logic" xil_pn:value="Yes" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Correlate Output to Input Design" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Create ASCII Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Create Binary Configuration File" xil_pn:value="true" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Create Bit File" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Create I/O Pads from Ports" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Create IEEE 1532 Configuration File spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Create Logic Allocation File" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Create Mask File" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Create ReadBack Data Files" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Cross Clock Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="DSP Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Data Flow window" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Decoder Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Default Enum Encoding Goal" xil_pn:value="default" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Delay Values To Be Read from SDF" xil_pn:value="Setup Time" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Delay Values To Be Read from SDF ModelSim" xil_pn:value="Setup Time" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Device" xil_pn:value="xc6slx9" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Device Speed Grade/Select ABS Minimum" xil_pn:value="-2" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Disable Detailed Package Model Insertion" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Disable I/O insertion" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Display Incremental Messages" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Do Not Escape Signal and Instance Names in Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Done (Output Events)" xil_pn:value="Default (4)" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Drive Awake Pin During Suspend/Wake Sequence spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Drive Done Pin High" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="EDIF" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable BitStream Compression" xil_pn:value="true" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Enable Cyclic Redundancy Checking (CRC) spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable Debugging of Serial Mode BitStream" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable Enhanced Design Summary" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable External Master Clock spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable Hardware Co-Simulation" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="true" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Enable Message Filtering" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable Multi-Threading" xil_pn:value="Off" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable Multi-Threading par spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable Outputs (Output Events)" xil_pn:value="Default (5)" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable Suspend/Wake Global Set/Reset spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Encrypt Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Encrypt Key Select spartan6" xil_pn:value="BBRAM" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Equivalent Register Removal" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Equivalent Register Removal Map" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Equivalent Register Removal XST" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Essential Bits" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Evaluation Development Board" xil_pn:value="None Specified" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Exclude Compilation of Deprecated EDK Cores" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Exclude Compilation of EDK Sub-Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Extra Cost Tables Map" xil_pn:value="0" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Extra Effort (Highest PAR level only)" xil_pn:value="None" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="FPGA Start-Up Clock" xil_pn:value="CCLK" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="FSM Encoding" xil_pn:value="Auto" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="FSM Encoding Algorithm" xil_pn:value="Auto" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="FSM Style" xil_pn:value="LUT" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Fanout Guide" xil_pn:value="100" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Filter Files From Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Flatten Output Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Frequency" xil_pn:value="0.0" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Full Case" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Functional Model Target Language ArchWiz" xil_pn:value="Verilog" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Functional Model Target Language Coregen" xil_pn:value="Verilog" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Functional Model Target Language Schematic" xil_pn:value="Verilog" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="GTS Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="GWE Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="5" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Architecture Only (No Entity Declaration)" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Asynchronous Delay Report" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Clock Region Report" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Constraints Interaction Report" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Constraints Interaction Report Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Datasheet Section" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Datasheet Section Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Detailed MAP Report" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Multiple Hierarchical Netlist Files" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Post-Place & Route Power Report" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Post-Place & Route Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate RTL Schematic" xil_pn:value="Yes" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate SAIF File for Power Optimization/Estimation" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate SAIF File for Power Optimization/Estimation Par" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Testbench File" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Timegroups Section" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Timegroups Section Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate UCF from RTL Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Verbose Library Compilation Messages" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generics, Parameters" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Global Clock Delay 0 (Binary String)" xil_pn:value="11111" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Global Clock Delay 1 (Binary String)" xil_pn:value="11111" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Global Clock Delay 2 (Binary String)" xil_pn:value="11111" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Global Clock Delay 3 (Binary String)" xil_pn:value="11111" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Global Optimization Goal" xil_pn:value="AllClockNets" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Global Optimization map" xil_pn:value="Off" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Global Optimization map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Global Set/Reset Port Name" xil_pn:value="GSR_PORT" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Global Tristate Port Name" xil_pn:value="GTS_PORT" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="HDL Instantiation Template Target Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Hierarchy Separator" xil_pn:value="/" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="ISim UUT Instance Name" xil_pn:value="UUT" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Ignore Pre-Compiled Library Warning Check" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Ignore User Timing Constraints Map" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Ignore User Timing Constraints Par" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Ignore Version Check" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Implementation Start View" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Implementation Stop View" xil_pn:value="AbstractSynthesis" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Implementation Top" xil_pn:value="Module|mojo_top" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Implementation Top File" xil_pn:value="../src/mojo_top.v" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/mojo_top" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Include 'uselib Directive in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Include SIMPRIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Include UNISIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Include sdf_annotate task in Verilog File" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Incremental Compilation" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Insert Buffers to Prevent Pulse Swallowing" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Instance Name for Simulation in Hardware" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Instantiation Template Target Language Schematic" xil_pn:value="Verilog" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Instantiation Template Target Language Xps" xil_pn:value="Verilog" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="JTAG Pin TCK" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="JTAG Pin TDI" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="JTAG Pin TDO" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="JTAG Pin TMS" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Keep Hierarchy" xil_pn:value="No" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="LUT Combining Map" xil_pn:value="Off" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="LUT Combining Xst" xil_pn:value="Auto" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Language" xil_pn:value="VHDL" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Last Applied Goal" xil_pn:value="Balanced" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Last Applied Strategy" xil_pn:value="Xilinx Default (unlocked)" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Last Unlock Status" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Launch SDK after Export" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Library for Verilog Sources" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="List window" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Load Timing Specification Interaction Report" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Load glbl" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Log All Signals In Behavioral Simulation" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Log All Signals In Post-Map Simulation" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Log All Signals In Post-Par Simulation" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Log All Signals In Post-Translate Simulation" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Logical Shifter Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="MAC Address for Hardware Co-Simulation" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Manual Implementation Compile Order" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Map Slice Logic into Unused Block RAMs" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Mask Pins for Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="0x00" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Max Fanout" xil_pn:value="100000" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Maximum Compression" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Maximum Number of Lines in Report" xil_pn:value="1000" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Maximum Signal Name Length" xil_pn:value="20" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Message Filter File" xil_pn:value="filter.filter" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="ModelSim Post-Map UUT Instance Name" xil_pn:value="UUT" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="ModelSim Post-Par UUT Instance Name" xil_pn:value="UUT" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Move First Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Move Last Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="MultiBoot: Insert IPROG CMD in the Bitfile spartan6" xil_pn:value="Enable" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="MultiBoot: Next Configuration Mode spartan6" xil_pn:value="001" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="MultiBoot: Starting Address for Golden Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="MultiBoot: Starting Address for Next Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="MultiBoot: Use New Mode for Next Configuration spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="MultiBoot: User-Defined Register for Failsafe Scheme spartan6" xil_pn:value="0x0000" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Multiplier Style" xil_pn:value="LUT" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Mux Extraction" xil_pn:value="Yes" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Mux Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Netlist Hierarchy" xil_pn:value="As Optimized" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Netlist Translation Type" xil_pn:value="Timestamp" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Number of Clock Buffers" xil_pn:value="16" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Number of Critical Paths" xil_pn:value="1" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Number of Critical Paths Synthesis" xil_pn:value="0" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Number of Paths in Error/Verbose Report" xil_pn:value="3" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Number of Paths in Error/Verbose Report Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Number of Start/End Points" xil_pn:value="0" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Number of Summary Paths" xil_pn:value="10" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Optimization Effort" xil_pn:value="Normal" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Optimization Effort spartan6" xil_pn:value="Normal" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Optimization Goal" xil_pn:value="Speed" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Optimization Strategy (Cover Mode)" xil_pn:value="Area" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Optimize Instantiated Primitives" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Bitgen Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Bitgen Command Line Options spartan6" xil_pn:value="-bd "/home/tim/Projects/z80/hdmi-mb/Graphics/Release/Graphics.elf" tag microblaze_mcs" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Other Compiler Options" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Compiler Options Fit" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Compiler Options Map" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Compiler Options Par" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Compiler Options Translate" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Compxlib Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Map Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other NETGEN Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Ngdbuild Command Line Options" xil_pn:value="-bm "../ipcore_dir/microblaze_mcs.bmm"" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Other Place & Route Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Precision Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Simulator Commands Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Simulator Commands Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Simulator Commands Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Simulator Commands Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Synplify Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Synplify Project Options" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other VCOM Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other VLOG Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other VSIM Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other XPWR Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other XST Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Output Extended Identifiers" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Output File Base Name" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Output File Name" xil_pn:value="pwm_top" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Overwrite Existing Symbol" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Auto" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="Off" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Package" xil_pn:value="tqg144" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Parallel Case" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Perform Advanced Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Perform Advanced Analysis Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Perform Timing-Driven Packing and Placement" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Pipelining" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Place & Route Effort Level (Overall)" xil_pn:value="High" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Place And Route Mode" xil_pn:value="Normal Place and Route" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Place MultiBoot Settings into Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Placer Effort Level (Overrides Overall Level)" xil_pn:value="None" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Placer Effort Level Map" xil_pn:value="High" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Placer Extra Effort Map" xil_pn:value="None" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Port to be used" xil_pn:value="Auto - default" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="pwm_top_map.v" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Post Place & Route Simulation Model Name" xil_pn:value="pwm_top_timesim.v" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="pwm_top_synthesis.v" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="pwm_top_translate.v" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Power Reduction Map" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Power Reduction Map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Power Reduction Par" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Power Reduction Xst" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Precision Optimization Goal" xil_pn:value="Balanced" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Priority Encoder Extraction" xil_pn:value="Yes" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Process window" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Project Description" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Project Generator" xil_pn:value="ProjNav" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Push Tristates across Process/Block Boundaries" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="RAM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="RAM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="ROM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="ROM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Re-Use Last Bitstream File" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Read Cores" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Reduce Control Sets" xil_pn:value="Auto" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Regenerate Core" xil_pn:value="Under Current Project Setting" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Register Balancing" xil_pn:value="No" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Register Duplication Map" xil_pn:value="Off" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Register Duplication Xst" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Register Ordering spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Release Set/Reset (Output Events)" xil_pn:value="Default (6)" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Release Write Enable (Output Events)" xil_pn:value="Default (6)" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Rename Design Instance in Testbench File to" xil_pn:value="UUT" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Rename Top Level Architecture To" xil_pn:value="Structure" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Rename Top Level Entity to" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Rename Top Level Module To" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Report Clock Frequencies" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Report Critical Paths" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Report Fastest Path(s) in Each Constraint" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Report Fastest Path(s) in Each Constraint Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Report Missing Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Report Paths by Endpoint" xil_pn:value="3" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Report Paths by Endpoint Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Report Timing Summary" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Report Timing Violations" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Report Type" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Report Type Post Trace" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Report Unconstrained Paths" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Report Unconstrained Paths Post Trace" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Reset On Configuration Pulse Width" xil_pn:value="100" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Resource Sharing" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Resource Sharing Precision" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Resource Sharing Synthesis" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Retain Hierarchy" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Retiming" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Retry Configuration if CRC Error Occurs spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Revision Select" xil_pn:value="00" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Revision Select Tristate" xil_pn:value="Disable" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Router Effort Level (Overrides Overall Level)" xil_pn:value="None" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Run Design Rules Checker (DRC)" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Run Retiming" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Run for Specified Time" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Run for Specified Time Map" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Run for Specified Time Par" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Selected Module Instance Name" xil_pn:value="/mojo_top/spi" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="work.spi" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Selected Simulation Source Node" xil_pn:value="UUT" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Set SPI Configuration Bus Width spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Setup External Master Clock Division spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Shift Register Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Shift Register Minimum Size spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Show All Models" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Show Clock Domain Crossing" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Show Net Fan Out" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Signal window" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Simulation Model Target" xil_pn:value="Verilog" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Simulation Resolution" xil_pn:value="Default (1 ps)" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Simulation Run Time ISim" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Simulation Run Time Map" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Simulation Run Time Modelsim" xil_pn:value="1000ns" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Simulation Run Time Par" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Simulation Run Time Translate" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Slice Packing" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Slice Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Source window" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Specify Top Level Instance Names" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="work.spi" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="Default" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Speed Grade" xil_pn:value="-2" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Starting Placer Cost Table (1-100) Map" xil_pn:value="1" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Starting Placer Cost Table (1-100) Map spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Starting Placer Cost Table (1-100) Par" xil_pn:value="1" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Structure window" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Symbolic FSM Compiler" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Sysgen Instantiation Template Target Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Target Board for Hardware Co-Simulation" xil_pn:value="SP601 (JTAG)" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Target Simulator" xil_pn:value="Please Specify" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Test Bench Module/Entity Name" xil_pn:value="testbench" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Test Bench Target Language Xps" xil_pn:value="Verilog" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Timing Mode Map" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Timing Mode Par" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Top-Level Module Name in Output Netlist" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Transform Set/Reset on DFFs to Latches" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Tri-state Buffer Transformation Mode" xil_pn:value="Off" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Trim Unconnected Signals" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Tristate On Configuration Pulse Width" xil_pn:value="0" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Unused IOB Pins" xil_pn:value="Pull Down" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Update modelsim.ini File for Xilinx SmartModel Use" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use 64-bit PlanAhead on 64-bit Systems" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Automatic Do File" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Clock Enable" xil_pn:value="Auto" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Configuration Name" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Do File" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Do File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Do File Map" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Do File Par" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Do File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Project File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Project File Post-Map" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Project File Post-Route" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Project File Post-Translate" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Simulation Command File" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Simulation Command File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Simulation Command File Map" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Simulation Command File Par" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Simulation Command File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Waveform Configuration File Behav" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Waveform Configuration File Map" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Waveform Configuration File Par" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Waveform Configuration File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use DSP Block spartan6" xil_pn:value="Auto" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Explicit Declarations Only" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use FSM Explorer Data" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use LOC Constraints" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use RLOC Constraints" xil_pn:value="Yes" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Safe FSM" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Smart Guide" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Synchronous Reset" xil_pn:value="Auto" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Synchronous Set" xil_pn:value="Auto" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Synthesis Constraints File" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="User Browsed Strategy Files" xil_pn:value="/opt/Xilinx/14.7/ISE_DS/ISE/data/default.xds" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="UserID Code (8 Digit Hexadecimal)" xil_pn:value="0xFFFFFFFF" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="VCCAUX Voltage Level spartan6" xil_pn:value="2.5V" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="VHDL" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="VHDL Source Analysis Standard" xil_pn:value="VHDL-93" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="VHDL Syntax" xil_pn:value="93" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="VHDL Syntax Precision" xil_pn:value="VHDL 2002" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Value Range Check" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Variables window" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Verilog" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Verilog 2001 Xst" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Verilog Macros" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Verilog Standard" xil_pn:value="Verilog 2001" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Wait for DCM and PLL Lock (Output Events) spartan6" xil_pn:value="Default (NoWait)" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Wait for DLL Lock (Output Events)" xil_pn:value="Default (NoWait)" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Wakeup Clock spartan6" xil_pn:value="Startup Clock" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Watchdog Timer Value spartan6" xil_pn:value="0xFFFF" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Wave window" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Working Directory" xil_pn:value="syn" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Write Mapped VHDL Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Write Mapped Verilog Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Write Timing Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Write Vendor Constraint File" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="XOR Collapsing" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<!-- -->
|
||||
<!-- The following properties are for internal use only. These should not be modified.-->
|
||||
<!-- -->
|
||||
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="Module|spi_tb" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="PROP_DesignName" xil_pn:value="PWM-Demo" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="PROP_PostMapSimTop" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="PROP_PostParSimTop" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="PROP_PostSynthSimTop" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="PROP_PostXlateSimTop" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="PROP_PreSynthesis" xil_pn:value="PreSynthesis" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2012-11-06T11:19:28" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="F04BE7BB4526104AA483BCD2B63930B5" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="UnderProjDir" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="Yes" xil_pn:valueState="non-default"/>
|
||||
</properties>
|
||||
|
||||
<bindings>
|
||||
<binding xil_pn:location="/mojo_top" xil_pn:name="src/mojo.ucf"/>
|
||||
</bindings>
|
||||
|
||||
<libraries/>
|
||||
|
||||
<autoManagedFiles>
|
||||
<!-- The following files are identified by `include statements in verilog -->
|
||||
<!-- source files and are automatically managed by Project Navigator. -->
|
||||
<!-- -->
|
||||
<!-- Do not hand-edit this section, as it will be overwritten when the -->
|
||||
<!-- project is analyzed based on files automatically identified as -->
|
||||
<!-- include files. -->
|
||||
</autoManagedFiles>
|
||||
|
||||
</project>
|
117
async_fifo.v
Normal file
117
async_fifo.v
Normal file
|
@ -0,0 +1,117 @@
|
|||
`timescale 1ns / 1ps
|
||||
/*
|
||||
This file was generated automatically by Alchitry Labs version 1.2.0.
|
||||
Do not edit this file directly. Instead edit the original Lucid source.
|
||||
This is a temporary file and any changes made to it will be destroyed.
|
||||
*/
|
||||
|
||||
/*
|
||||
Parameters:
|
||||
SIZE = DATA_IN_SIZE
|
||||
DEPTH = 16
|
||||
*/
|
||||
module async_fifo (
|
||||
input wclk,
|
||||
input wrst,
|
||||
input [29:0] din,
|
||||
input wput,
|
||||
output reg full,
|
||||
input rclk,
|
||||
input rrst,
|
||||
output reg [29:0] dout,
|
||||
input rget,
|
||||
output reg empty
|
||||
);
|
||||
|
||||
localparam SIZE = 5'h1e;
|
||||
localparam DEPTH = 5'h10;
|
||||
|
||||
|
||||
localparam ADDR_SIZE = 3'h4;
|
||||
|
||||
reg [3:0] M_waddr_d, M_waddr_q = 1'h0;
|
||||
reg [7:0] M_wsync_d, M_wsync_q = 1'h0;
|
||||
|
||||
reg [3:0] M_raddr_d, M_raddr_q = 1'h0;
|
||||
reg [7:0] M_rsync_d, M_rsync_q = 1'h0;
|
||||
|
||||
wire [30-1:0] M_ram_read_data;
|
||||
reg [1-1:0] M_ram_wclk;
|
||||
reg [4-1:0] M_ram_waddr;
|
||||
reg [30-1:0] M_ram_write_data;
|
||||
reg [1-1:0] M_ram_write_en;
|
||||
reg [1-1:0] M_ram_rclk;
|
||||
reg [4-1:0] M_ram_raddr;
|
||||
simple_dual_ram #(.SIZE(5'h1e), .DEPTH(5'h10)) ram (
|
||||
.wclk(M_ram_wclk),
|
||||
.waddr(M_ram_waddr),
|
||||
.write_data(M_ram_write_data),
|
||||
.write_en(M_ram_write_en),
|
||||
.rclk(M_ram_rclk),
|
||||
.raddr(M_ram_raddr),
|
||||
.read_data(M_ram_read_data)
|
||||
);
|
||||
|
||||
reg [3:0] waddr_gray;
|
||||
|
||||
reg [3:0] wnext_gray;
|
||||
|
||||
reg [3:0] raddr_gray;
|
||||
|
||||
reg wrdy;
|
||||
reg rrdy;
|
||||
|
||||
always @* begin
|
||||
M_rsync_d = M_rsync_q;
|
||||
M_wsync_d = M_wsync_q;
|
||||
M_waddr_d = M_waddr_q;
|
||||
M_raddr_d = M_raddr_q;
|
||||
|
||||
M_ram_wclk = wclk;
|
||||
M_ram_rclk = rclk;
|
||||
M_ram_write_en = 1'h0;
|
||||
waddr_gray = (M_waddr_q >> 1'h1) ^ M_waddr_q;
|
||||
wnext_gray = ((M_waddr_q + 1'h1) >> 1'h1) ^ (M_waddr_q + 1'h1);
|
||||
raddr_gray = (M_raddr_q >> 1'h1) ^ M_raddr_q;
|
||||
M_rsync_d = {M_rsync_q[0+3-:4], waddr_gray};
|
||||
M_wsync_d = {M_wsync_q[0+3-:4], raddr_gray};
|
||||
wrdy = wnext_gray != M_wsync_q[4+3-:4];
|
||||
rrdy = raddr_gray != M_rsync_q[4+3-:4];
|
||||
full = !wrdy;
|
||||
empty = !rrdy;
|
||||
M_ram_waddr = M_waddr_q;
|
||||
M_ram_raddr = M_raddr_q;
|
||||
M_ram_write_data = din;
|
||||
if (wput && wrdy) begin
|
||||
M_waddr_d = M_waddr_q + 1'h1;
|
||||
M_ram_write_en = 1'h1;
|
||||
end
|
||||
if (rget && rrdy) begin
|
||||
M_raddr_d = M_raddr_q + 1'h1;
|
||||
M_ram_raddr = M_raddr_q + 1'h1;
|
||||
end
|
||||
dout = M_ram_read_data;
|
||||
end
|
||||
|
||||
always @(posedge rclk) begin
|
||||
if (rrst == 1'b1) begin
|
||||
M_raddr_q <= 1'h0;
|
||||
M_rsync_q <= 1'h0;
|
||||
end else begin
|
||||
M_raddr_q <= M_raddr_d;
|
||||
M_rsync_q <= M_rsync_d;
|
||||
end
|
||||
end
|
||||
|
||||
|
||||
always @(posedge wclk) begin
|
||||
if (wrst == 1'b1) begin
|
||||
M_waddr_q <= 1'h0;
|
||||
M_wsync_q <= 1'h0;
|
||||
end else begin
|
||||
M_waddr_q <= M_waddr_d;
|
||||
M_wsync_q <= M_wsync_d;
|
||||
end
|
||||
end
|
||||
|
||||
endmodule
|
226
char_map.v
Normal file
226
char_map.v
Normal file
|
@ -0,0 +1,226 @@
|
|||
`timescale 1ns / 1ps
|
||||
//////////////////////////////////////////////////////////////////////////////////
|
||||
// Company:
|
||||
// Engineer:
|
||||
//
|
||||
// Create Date: 20:18:41 09/13/2020
|
||||
// Design Name:
|
||||
// Module Name: char_map
|
||||
// Project Name:
|
||||
// Target Devices:
|
||||
// Tool versions:
|
||||
// Description:
|
||||
//
|
||||
// Dependencies:
|
||||
//
|
||||
// Revision:
|
||||
// Revision 0.01 - File Created
|
||||
// Additional Comments:
|
||||
//
|
||||
//////////////////////////////////////////////////////////////////////////////////
|
||||
module char_map(
|
||||
input clk,
|
||||
input [7:0] index,
|
||||
output reg [255:0] char
|
||||
);
|
||||
|
||||
wire [255:0] char_data [94:0];
|
||||
|
||||
always @(posedge clk) begin
|
||||
char <= char_data[index];
|
||||
end
|
||||
|
||||
assign char_data[ 0] = 256'h0000000000000000000000000000000000000000000000000000000000000000; //
|
||||
assign char_data[ 1] = 256'h0000000007000f800f800f800f800f8007000700000000000700070007000000; // !
|
||||
assign char_data[ 2] = 256'h00000e380e380e380e3806300000000000000000000000000000000000000000; // "
|
||||
assign char_data[ 3] = 256'h00000c300c300c307ffe7ffe0c300c300c300c307ffe7ffe0c300c300c300000; // #
|
||||
assign char_data[ 4] = 256'h0000024002400ff81ff81a401a401ff00ff8025802581ff81ff0024002400000; // $
|
||||
assign char_data[ 5] = 256'h0000000000000e100e300e7000e001c0038007000e700c700870000000000000; // %
|
||||
assign char_data[ 6] = 256'h000000000f001980198019800f000f080f9819f818f018e019f00f9800000000; // &
|
||||
assign char_data[ 7] = 256'h000000000700070007000e000000000000000000000000000000000000000000; // '
|
||||
assign char_data[ 8] = 256'h0000000000f001c0038007000e000e000e000e000700038001c000f000000000; // (
|
||||
assign char_data[ 9] = 256'h000000000f00038001c000e0007000700070007000e001c003800f0000000000; // )
|
||||
assign char_data[10] = 256'h0000000001801188099007e007e03ffc3ffc07e007e009901188018000000000; // *
|
||||
assign char_data[11] = 256'h00000000000001800180018001801ff81ff80180018001800180000000000000; // +
|
||||
assign char_data[12] = 256'h000000000000000000000000000000000000000000000700070007000e000000; // ,
|
||||
assign char_data[13] = 256'h00000000000000000000000000001ff81ff80000000000000000000000000000; // -
|
||||
assign char_data[14] = 256'h0000000000000000000000000000000000000000000007000700070000000000; // .
|
||||
assign char_data[15] = 256'h0000000000020006000e001c0038007000e001c0038007000e001c0000000000; // /
|
||||
assign char_data[16] = 256'h000000000ff01c381c781cf81cf81db81db81f381f381e381c380ff000000000; // 0
|
||||
assign char_data[17] = 256'h000000000180018003801f801f800380038003800380038003801ff000000000; // 1
|
||||
assign char_data[18] = 256'h000000000fe01c701c380038007000e001c0038007000e381c381ff800000000; // 2
|
||||
assign char_data[19] = 256'h000000000fe01c701c380038007003e003e0007000381c381c700fe000000000; // 3
|
||||
assign char_data[20] = 256'h0000000000e001e003e006e00ce018e01ff81ff800e000e000e003f800000000; // 4
|
||||
assign char_data[21] = 256'h000000001ff81c001c001c001c001fe01ff0007800381c381c700fe000000000; // 5
|
||||
assign char_data[22] = 256'h0000000003e007000e001c001c001ff01ff81c381c381c381c380ff000000000; // 6
|
||||
assign char_data[23] = 256'h000000001ffc1c1c1c1c1c1c001c0038007000e001c003800380038000000000; // 7
|
||||
assign char_data[24] = 256'h000000000ff01c381c381c381f3807e007e01cf81c381c381c380ff000000000; // 8
|
||||
assign char_data[25] = 256'h000000000ff01c381c381c381c381ff80ff800380038007000e007c000000000; // 9
|
||||
assign char_data[26] = 256'h0000000000000000038003800380000000000380038003800000000000000000; // :
|
||||
assign char_data[27] = 256'h0000000000000000070007000700000000000700070007000e00000000000000; // ;
|
||||
assign char_data[28] = 256'h0000007000e001c0038007000e001c001c000e000700038001c000e000700000; // <
|
||||
assign char_data[29] = 256'h000000000000000000003ffc3ffc000000003ffc3ffc00000000000000000000; // =
|
||||
assign char_data[30] = 256'h00001c000e000700038001c000e00070007000e001c0038007000e001c000000; // >
|
||||
assign char_data[31] = 256'h000003c00ff01e7818380038007000e001c001c00000000001c001c001c00000; // ?
|
||||
assign char_data[32] = 256'h000007f81c1c1c1c1c1c1c1c1cfc1cfc1cfc1cfc1c001c001c001c0007f80000; // @
|
||||
assign char_data[33] = 256'h0000000003c007e00e701c381c381c381c381ff81c381c381c381c3800000000; // A
|
||||
assign char_data[34] = 256'h000000001ff00e380e380e380e380ff00ff00e380e380e380e381ff000000000; // B
|
||||
assign char_data[35] = 256'h0000000007f00e381c381c001c001c001c001c001c001c380e3807f000000000; // C
|
||||
assign char_data[36] = 256'h000000001fe00e700e380e380e380e380e380e380e380e380e701fe000000000; // D
|
||||
assign char_data[37] = 256'h000000001ff80e180e080e000e300ff00ff00e300e000e080e181ff800000000; // E
|
||||
assign char_data[38] = 256'h000000001ff80e180e080e000e300ff00ff00e300e000e000e001f0000000000; // F
|
||||
assign char_data[39] = 256'h0000000007f00e381c381c381c001c001c001cf81c381c380e3807f800000000; // G
|
||||
assign char_data[40] = 256'h000000001c701c701c701c701c701ff01ff01c701c701c701c701c7000000000; // H
|
||||
assign char_data[41] = 256'h000000001fc007000700070007000700070007000700070007001fc000000000; // I
|
||||
assign char_data[42] = 256'h0000000001fc0070007000700070007000701c701c701c701c700fe000000000; // J
|
||||
assign char_data[43] = 256'h000000001e380e380e700ee00fc00f800f800fc00ee00e700e381e3800000000; // K
|
||||
assign char_data[44] = 256'h000000001f000e000e000e000e000e000e000e000e080e180e381ff800000000; // L
|
||||
assign char_data[45] = 256'h000000001c1c1e3c1f7c1ffc1ffc1ddc1c9c1c1c1c1c1c1c1c1c1c1c00000000; // M
|
||||
assign char_data[46] = 256'h000000001c1c1c1c1e1c1f1c1f9c1ddc1cfc1c7c1c3c1c1c1c1c1c1c00000000; // N
|
||||
assign char_data[47] = 256'h0000000003e007700e381c1c1c1c1c1c1c1c1c1c1c1c0e38077003e000000000; // O
|
||||
assign char_data[48] = 256'h000000001ff00e380e380e380e380ff00ff00e000e000e000e001f0000000000; // P
|
||||
assign char_data[49] = 256'h0000000003e00f780e381c1c1c1c1c1c1c1c1c7c1cfc0ff80ff8003800fc0000; // Q
|
||||
assign char_data[50] = 256'h000000001ff00e380e380e380e380ff00ff00e700e380e380e381e3800000000; // R
|
||||
assign char_data[51] = 256'h000000000ff01c381c381c381c000fe007f000381c381c381c380ff000000000; // S
|
||||
assign char_data[52] = 256'h000000001ffc19cc11c401c001c001c001c001c001c001c001c007f000000000; // T
|
||||
assign char_data[53] = 256'h000000001c701c701c701c701c701c701c701c701c701c701c700fe000000000; // U
|
||||
assign char_data[54] = 256'h000000001c701c701c701c701c701c701c701c701c700ee007c0038000000000; // V
|
||||
assign char_data[55] = 256'h000000001c1c1c1c1c1c1c1c1c1c1c9c1c9c1c9c0ff80f780770077000000000; // W
|
||||
assign char_data[56] = 256'h000000001c701c701c700ee007c00380038007c00ee01c701c701c7000000000; // X
|
||||
assign char_data[57] = 256'h000000001c701c701c701c701c700ee007c003800380038003800fe000000000; // Y
|
||||
assign char_data[58] = 256'h000000001ff81c381838107000e001c0038007000e081c181c381ff800000000; // Z
|
||||
assign char_data[59] = 256'h0000000007f0070007000700070007000700070007000700070007f000000000; // [
|
||||
assign char_data[60] = 256'h00000000100018001c000e000700038001c000e000700038001c000e00000000; // \
|
||||
assign char_data[61] = 256'h0000000007f0007000700070007000700070007000700070007007f000000000; // ]
|
||||
assign char_data[62] = 256'h0000018003c007e00e701c380000000000000000000000000000000000000000; // ^
|
||||
assign char_data[63] = 256'h00000000000000000000000000000000000000000000000000000000ffffffff; // _
|
||||
assign char_data[64] = 256'h000000001c001c00070007000000000000000000000000000000000000000000; // `
|
||||
assign char_data[65] = 256'h0000000000000000000000000fe0007000700ff01c701c701c700f9800000000; // a
|
||||
assign char_data[66] = 256'h000000001e000e000e000e000ff00e380e380e380e380e380e3819f000000000; // b
|
||||
assign char_data[67] = 256'h0000000000000000000000000fe01c701c701c001c001c701c700fe000000000; // c
|
||||
assign char_data[68] = 256'h0000000000f80070007000700ff01c701c701c701c701c701c700f9800000000; // d
|
||||
assign char_data[69] = 256'h0000000000000000000000000fe01c701c701ff01c001c701c700fe000000000; // e
|
||||
assign char_data[70] = 256'h0000000003e007700770070007001fe01fe007000700070007001fc000000000; // f
|
||||
assign char_data[71] = 256'h0000000000000000000000000f981c701c701c701c700ff007f000701c700fe0; // g
|
||||
assign char_data[72] = 256'h000000001e000e000e000e000ef00f380f380e380e380e380e381e3800000000; // h
|
||||
assign char_data[73] = 256'h0000000001c001c001c000000fc001c001c001c001c001c001c00ff800000000; // i
|
||||
assign char_data[74] = 256'h00000000007000700070000003f00070007000700070007000701c701c7007e0; // j
|
||||
assign char_data[75] = 256'h000000001e000e000e000e000e380e700ee00fc00ee00e700e381e3800000000; // k
|
||||
assign char_data[76] = 256'h000000000fc001c001c001c001c001c001c001c001c001c001c00ff800000000; // l
|
||||
assign char_data[77] = 256'h0000000000000000000000001ff81c9c1c9c1c9c1c9c1c9c1c9c1c1c00000000; // m
|
||||
assign char_data[78] = 256'h0000000000000000000000001fe01c701c701c701c701c701c701c7000000000; // n
|
||||
assign char_data[79] = 256'h0000000000000000000000000fe01c701c701c701c701c701c700fe000000000; // o
|
||||
assign char_data[80] = 256'h00000000000000000000000019f00e380e380e380e380e380ff00e000e001f00; // p
|
||||
assign char_data[81] = 256'h0000000000000000000000001f3038e038e038e038e038e01fe000e000e001f0; // q
|
||||
assign char_data[82] = 256'h0000000000000000000000001e700ff80f380e000e000e000e001f0000000000; // r
|
||||
assign char_data[83] = 256'h0000000000000000000000000fe01c301c300f8003e0187018700fe000000000; // s
|
||||
assign char_data[84] = 256'h0000000000000100030007001ff007000700070007000770077003e000000000; // t
|
||||
assign char_data[85] = 256'h0000000000000000000000001c701c701c701c701c701c701c700f9800000000; // u
|
||||
assign char_data[86] = 256'h0000000000000000000000001c701c701c701c701c700ee007c0038000000000; // v
|
||||
assign char_data[87] = 256'h0000000000000000000000001c1c1c1c1c1c1c9c1c9c0f780770077000000000; // w
|
||||
assign char_data[88] = 256'h0000000000000000000000001ce01ce00fc0078007800fc01ce01ce000000000; // x
|
||||
assign char_data[89] = 256'h0000000000000000000000000e380e380e380e380e3807f003e000e001c01f80; // y
|
||||
assign char_data[90] = 256'h0000000000000000000000001fe018e011c0038007000e201c601fe000000000; // z
|
||||
assign char_data[91] = 256'h0000000001f803800380038007001c001c00070003800380038001f800000000; // {
|
||||
assign char_data[92] = 256'h0000000003c003c003c003c003c00000000003c003c003c003c003c000000000; // |
|
||||
assign char_data[93] = 256'h000000001f8001c001c001c000e00038003800e001c001c001c01f8000000000; // }
|
||||
assign char_data[94] = 256'h000000001f1c3b9c39dc38f80000000000000000000000000000000000000000; // ~
|
||||
|
||||
//assign char_data[0] = 30'h00000000; //
|
||||
//assign char_data[1] = 30'h1CE7380E; // !
|
||||
//assign char_data[2] = 30'h14A00000; // "
|
||||
//assign char_data[3] = 30'hAFABEA; // #
|
||||
//assign char_data[4] = 30'h8FA38BE; // $
|
||||
//assign char_data[5] = 30'h19D1173; // %
|
||||
//assign char_data[6] = 30'h1905324D; // &
|
||||
//assign char_data[7] = 30'h8400000; // '
|
||||
//assign char_data[8] = 30'hCC63186; // (
|
||||
//assign char_data[9] = 30'h186318CC; // )
|
||||
//assign char_data[10] = 30'h4ABAA4; // *
|
||||
//assign char_data[11] = 30'h427C84; // +
|
||||
//assign char_data[12] = 30'h0x8C; // ,
|
||||
//assign char_data[13] = 30'h3800; // -
|
||||
//assign char_data[14] = 30'hC; // .
|
||||
//assign char_data[15] = 30'hC663318; // /
|
||||
//assign char_data[16] = 30'h3FBDEF7F; // 0
|
||||
//assign char_data[17] = 30'h3C6318DF; // 1
|
||||
//assign char_data[18] = 30'h3E3FE31F; // 2
|
||||
//assign char_data[19] = 30'h3E378C7F; // 3
|
||||
//assign char_data[20] = 30'h37BF8C63; // 4
|
||||
//assign char_data[21] = 30'h3F8F8C7F; // 5
|
||||
//assign char_data[22] = 30'h3F8FEF7F; // 6
|
||||
//assign char_data[23] = 30'h3E33318C; // 7
|
||||
//assign char_data[24] = 30'h3FBFEF7F; // 8
|
||||
//assign char_data[25] = 30'h3FBF8C7F; // 9
|
||||
//assign char_data[26] = 30'hC00180; // :
|
||||
//assign char_data[27] = 30'hC00198; // ;
|
||||
//assign char_data[28] = 30'h666186; // <
|
||||
//assign char_data[29] = 30'h701C0; // =
|
||||
//assign char_data[30] = 30'hC30CCC; // >
|
||||
//assign char_data[31] = 30'h3C31B80C; // ?
|
||||
//assign char_data[32] = 30'h1D1BDE0F; // @
|
||||
//assign char_data[33] = 30'h1DBDFF7B; // A
|
||||
//assign char_data[34] = 30'h3DBF6F7E; // B
|
||||
//assign char_data[35] = 30'h1DBC636E; // C
|
||||
//assign char_data[36] = 30'h3DBDEF7E; // D
|
||||
//assign char_data[37] = 30'h1F8F630F; // E
|
||||
//assign char_data[38] = 30'h1F8F6318; // F
|
||||
//assign char_data[39] = 30'h1F8C6F6F; // G
|
||||
//assign char_data[40] = 30'h37BFEF7B; // H
|
||||
//assign char_data[41] = 30'h3CC6319E; // I
|
||||
//assign char_data[42] = 30'h3E6318DC; // J
|
||||
//assign char_data[43] = 30'h37BE6F7B; // K
|
||||
//assign char_data[44] = 30'h318C631F; // L
|
||||
//assign char_data[45] = 30'h37FFEF7B; // M
|
||||
//assign char_data[46] = 30'h3DBDEF7B; // N
|
||||
//assign char_data[47] = 30'h1DBDEF6E; // O
|
||||
//assign char_data[48] = 30'h3DBDFB18; // P
|
||||
//assign char_data[49] = 30'h1DBDEFCF; // Q
|
||||
//assign char_data[50] = 30'h3DBDF37B; // R
|
||||
//assign char_data[51] = 30'h1F870C7E; // S
|
||||
//assign char_data[52] = 30'h3EC6318C; // T
|
||||
//assign char_data[53] = 30'h37BDEF6E; // U
|
||||
//assign char_data[54] = 30'h37BDEDC4; // V
|
||||
//assign char_data[55] = 30'h37BDFFFB; // W
|
||||
//assign char_data[56] = 30'h37B26F7B; // X
|
||||
//assign char_data[57] = 30'h37BF8C7E; // Y
|
||||
//assign char_data[58] = 30'h3E33331F; // Z
|
||||
//assign char_data[59] = 30'h1CC6318E; // [
|
||||
//assign char_data[60] = 30'h18C31863; // \
|
||||
//assign char_data[61] = 30'h1C6318CE; // ]
|
||||
//assign char_data[62] = 30'h8A00000; // ^
|
||||
//assign char_data[63] = 30'h1F; // _
|
||||
//assign char_data[64] = 30'h10400000; // `
|
||||
//assign char_data[65] = 30'h7EF7D; // a
|
||||
//assign char_data[66] = 30'h318F6F7F; // b
|
||||
//assign char_data[67] = 30'h7E30F; // c
|
||||
//assign char_data[68] = 30'h637EF6F; // d
|
||||
//assign char_data[69] = 30'h76F8F; // e
|
||||
//assign char_data[70] = 30'hEC67D8C; // f
|
||||
//assign char_data[71] = 30'h1FBDBC7E; // g
|
||||
//assign char_data[72] = 30'h318F6F7B; // h
|
||||
//assign char_data[73] = 30'h18063186; // i
|
||||
//assign char_data[74] = 30'hC6318DC; // j
|
||||
//assign char_data[75] = 30'h31BD735B; // k
|
||||
//assign char_data[76] = 30'h18C63186; // l
|
||||
//assign char_data[77] = 30'h57F7B; // m
|
||||
//assign char_data[78] = 30'hF6F7B; // n
|
||||
//assign char_data[79] = 30'h7EF7E; // o
|
||||
//assign char_data[80] = 30'h3DBDFB18; // p
|
||||
//assign char_data[81] = 30'h1FBDBC63; // q
|
||||
//assign char_data[82] = 30'h76F18; // r
|
||||
//assign char_data[83] = 30'h7F0FE; // s
|
||||
//assign char_data[84] = 30'h18CF3186; // t
|
||||
//assign char_data[85] = 30'hDEF6F; // u
|
||||
//assign char_data[86] = 30'hDED44; // v
|
||||
//assign char_data[87] = 30'hDEFEA; // w
|
||||
//assign char_data[88] = 30'hDBB7B; // x
|
||||
//assign char_data[89] = 30'h37BDBC6E; // y
|
||||
//assign char_data[90] = 30'hF999F; // z
|
||||
//assign char_data[91] = 30'h623086; // {
|
||||
//assign char_data[92] = 30'h421084; // |
|
||||
//assign char_data[93] = 30'h610C46; // }
|
||||
//assign char_data[94] = 30'hAA0000; // ~
|
||||
|
||||
|
||||
endmodule
|
55
color_map.v
Normal file
55
color_map.v
Normal file
|
@ -0,0 +1,55 @@
|
|||
`timescale 1ns / 1ps
|
||||
//////////////////////////////////////////////////////////////////////////////////
|
||||
// Company:
|
||||
// Engineer:
|
||||
//
|
||||
// Create Date: 22:16:37 09/18/2020
|
||||
// Design Name:
|
||||
// Module Name: color_map
|
||||
// Project Name:
|
||||
// Target Devices:
|
||||
// Tool versions:
|
||||
// Description:
|
||||
//
|
||||
// Dependencies:
|
||||
//
|
||||
// Revision:
|
||||
// Revision 0.01 - File Created
|
||||
// Additional Comments:
|
||||
//
|
||||
//////////////////////////////////////////////////////////////////////////////////
|
||||
module color_map(
|
||||
input clk,
|
||||
input[3:0] colorcode,
|
||||
output reg[23:0] color
|
||||
);
|
||||
|
||||
wire [23:0] colors [15:0];
|
||||
|
||||
always @(posedge clk) begin
|
||||
color <= colors[colorcode];
|
||||
end
|
||||
|
||||
// VGA Colors
|
||||
// Normal colors
|
||||
assign colors[0] = 24'h000000;
|
||||
assign colors[1] = 24'hAA0000;
|
||||
assign colors[2] = 24'h00AA00;
|
||||
assign colors[3] = 24'hAA5500;
|
||||
assign colors[4] = 24'h0000AA;
|
||||
assign colors[5] = 24'hAA00AA;
|
||||
assign colors[6] = 24'h00AAAA;
|
||||
assign colors[7] = 24'hAAAAAA;
|
||||
|
||||
// Bright colors
|
||||
assign colors[8] = 24'h555555;
|
||||
assign colors[9] = 24'hFF5555;
|
||||
assign colors[10] = 24'h55FF55;
|
||||
assign colors[11] = 24'hFFFF55;
|
||||
assign colors[12] = 24'h5555FF;
|
||||
assign colors[13] = 24'hFF55FF;
|
||||
assign colors[14] = 24'h55FFFF;
|
||||
assign colors[15] = 24'hFFFFFF;
|
||||
|
||||
|
||||
endmodule
|
198
dvi_encoder.v
Normal file
198
dvi_encoder.v
Normal file
|
@ -0,0 +1,198 @@
|
|||
`timescale 1ns / 1ps
|
||||
/*
|
||||
This file was generated automatically by Alchitry Labs version 1.2.0.
|
||||
Do not edit this file directly. Instead edit the original Lucid source.
|
||||
This is a temporary file and any changes made to it will be destroyed.
|
||||
*/
|
||||
|
||||
module dvi_encoder (
|
||||
input pclk,
|
||||
input pclkx2,
|
||||
input pclkx10,
|
||||
input strobe,
|
||||
input rst,
|
||||
input [7:0] red,
|
||||
input [7:0] green,
|
||||
input [7:0] blue,
|
||||
input hsync,
|
||||
input vsync,
|
||||
input de,
|
||||
output reg [3:0] tmds,
|
||||
output reg [3:0] tmdsb
|
||||
);
|
||||
|
||||
|
||||
|
||||
reg M_toggle_d, M_toggle_q = 1'h0;
|
||||
|
||||
wire [1-1:0] M_clkser_iob_out;
|
||||
reg [5-1:0] M_clkser_data;
|
||||
serdes_n_to_1 clkser (
|
||||
.ioclk(pclkx10),
|
||||
.strobe(strobe),
|
||||
.gclk(pclkx2),
|
||||
.rst(rst),
|
||||
.data(M_clkser_data),
|
||||
.iob_out(M_clkser_iob_out)
|
||||
);
|
||||
|
||||
wire [1-1:0] M_clkbuf_O;
|
||||
wire [1-1:0] M_clkbuf_OB;
|
||||
OBUFDS clkbuf (
|
||||
.I(M_clkser_iob_out),
|
||||
.O(M_clkbuf_O),
|
||||
.OB(M_clkbuf_OB)
|
||||
);
|
||||
|
||||
wire [10-1:0] M_enc_blue_data_out;
|
||||
reg [8-1:0] M_enc_blue_data_in;
|
||||
reg [1-1:0] M_enc_blue_c0;
|
||||
reg [1-1:0] M_enc_blue_c1;
|
||||
reg [1-1:0] M_enc_blue_de;
|
||||
tmds_encoder enc_blue (
|
||||
.clk(pclk),
|
||||
.rst(rst),
|
||||
.data_in(M_enc_blue_data_in),
|
||||
.c0(M_enc_blue_c0),
|
||||
.c1(M_enc_blue_c1),
|
||||
.de(M_enc_blue_de),
|
||||
.data_out(M_enc_blue_data_out)
|
||||
);
|
||||
|
||||
wire [10-1:0] M_enc_green_data_out;
|
||||
reg [8-1:0] M_enc_green_data_in;
|
||||
reg [1-1:0] M_enc_green_c0;
|
||||
reg [1-1:0] M_enc_green_c1;
|
||||
reg [1-1:0] M_enc_green_de;
|
||||
tmds_encoder enc_green (
|
||||
.clk(pclk),
|
||||
.rst(rst),
|
||||
.data_in(M_enc_green_data_in),
|
||||
.c0(M_enc_green_c0),
|
||||
.c1(M_enc_green_c1),
|
||||
.de(M_enc_green_de),
|
||||
.data_out(M_enc_green_data_out)
|
||||
);
|
||||
|
||||
wire [10-1:0] M_enc_red_data_out;
|
||||
reg [8-1:0] M_enc_red_data_in;
|
||||
reg [1-1:0] M_enc_red_c0;
|
||||
reg [1-1:0] M_enc_red_c1;
|
||||
reg [1-1:0] M_enc_red_de;
|
||||
tmds_encoder enc_red (
|
||||
.clk(pclk),
|
||||
.rst(rst),
|
||||
.data_in(M_enc_red_data_in),
|
||||
.c0(M_enc_red_c0),
|
||||
.c1(M_enc_red_c1),
|
||||
.de(M_enc_red_de),
|
||||
.data_out(M_enc_red_data_out)
|
||||
);
|
||||
|
||||
wire [15-1:0] M_fifo_data_out;
|
||||
reg [30-1:0] M_fifo_data_in;
|
||||
fifo_2x_reducer fifo (
|
||||
.rst(rst),
|
||||
.clk(pclk),
|
||||
.clkx2(pclkx2),
|
||||
.data_in(M_fifo_data_in),
|
||||
.data_out(M_fifo_data_out)
|
||||
);
|
||||
|
||||
wire [1-1:0] M_redser_iob_out;
|
||||
reg [5-1:0] M_redser_data;
|
||||
serdes_n_to_1 redser (
|
||||
.ioclk(pclkx10),
|
||||
.strobe(strobe),
|
||||
.gclk(pclkx2),
|
||||
.rst(rst),
|
||||
.data(M_redser_data),
|
||||
.iob_out(M_redser_iob_out)
|
||||
);
|
||||
|
||||
wire [1-1:0] M_greenser_iob_out;
|
||||
reg [5-1:0] M_greenser_data;
|
||||
serdes_n_to_1 greenser (
|
||||
.ioclk(pclkx10),
|
||||
.strobe(strobe),
|
||||
.gclk(pclkx2),
|
||||
.rst(rst),
|
||||
.data(M_greenser_data),
|
||||
.iob_out(M_greenser_iob_out)
|
||||
);
|
||||
|
||||
wire [1-1:0] M_blueser_iob_out;
|
||||
reg [5-1:0] M_blueser_data;
|
||||
serdes_n_to_1 blueser (
|
||||
.ioclk(pclkx10),
|
||||
.strobe(strobe),
|
||||
.gclk(pclkx2),
|
||||
.rst(rst),
|
||||
.data(M_blueser_data),
|
||||
.iob_out(M_blueser_iob_out)
|
||||
);
|
||||
|
||||
wire [1-1:0] M_redbuf_O;
|
||||
wire [1-1:0] M_redbuf_OB;
|
||||
OBUFDS redbuf (
|
||||
.I(M_redser_iob_out),
|
||||
.O(M_redbuf_O),
|
||||
.OB(M_redbuf_OB)
|
||||
);
|
||||
|
||||
wire [1-1:0] M_greenbuf_O;
|
||||
wire [1-1:0] M_greenbuf_OB;
|
||||
OBUFDS greenbuf (
|
||||
.I(M_greenser_iob_out),
|
||||
.O(M_greenbuf_O),
|
||||
.OB(M_greenbuf_OB)
|
||||
);
|
||||
|
||||
wire [1-1:0] M_bluebuf_O;
|
||||
wire [1-1:0] M_bluebuf_OB;
|
||||
OBUFDS bluebuf (
|
||||
.I(M_blueser_iob_out),
|
||||
.O(M_bluebuf_O),
|
||||
.OB(M_bluebuf_OB)
|
||||
);
|
||||
|
||||
always @* begin
|
||||
M_toggle_d = M_toggle_q;
|
||||
|
||||
M_toggle_d = ~M_toggle_q;
|
||||
M_clkser_data = {3'h5{~M_toggle_q}};
|
||||
tmds[3+0-:1] = M_clkbuf_O;
|
||||
tmdsb[3+0-:1] = M_clkbuf_OB;
|
||||
M_enc_red_data_in = red;
|
||||
M_enc_green_data_in = green;
|
||||
M_enc_blue_data_in = blue;
|
||||
M_enc_red_c0 = hsync;
|
||||
M_enc_red_c1 = vsync;
|
||||
M_enc_red_de = de;
|
||||
M_enc_green_c0 = hsync;
|
||||
M_enc_green_c1 = vsync;
|
||||
M_enc_green_de = de;
|
||||
M_enc_blue_c0 = hsync;
|
||||
M_enc_blue_c1 = vsync;
|
||||
M_enc_blue_de = de;
|
||||
M_fifo_data_in = {M_enc_red_data_out[5+4-:5], M_enc_green_data_out[5+4-:5], M_enc_blue_data_out[5+4-:5], M_enc_red_data_out[0+4-:5], M_enc_green_data_out[0+4-:5], M_enc_blue_data_out[0+4-:5]};
|
||||
M_redser_data = M_fifo_data_out[10+4-:5];
|
||||
M_greenser_data = M_fifo_data_out[5+4-:5];
|
||||
M_blueser_data = M_fifo_data_out[0+4-:5];
|
||||
tmds[0+0-:1] = M_bluebuf_O;
|
||||
tmdsb[0+0-:1] = M_bluebuf_OB;
|
||||
tmds[1+0-:1] = M_greenbuf_O;
|
||||
tmdsb[1+0-:1] = M_greenbuf_OB;
|
||||
tmds[2+0-:1] = M_redbuf_O;
|
||||
tmdsb[2+0-:1] = M_redbuf_OB;
|
||||
end
|
||||
|
||||
always @(posedge pclkx2) begin
|
||||
if (rst == 1'b1) begin
|
||||
M_toggle_q <= 1'h0;
|
||||
end else begin
|
||||
M_toggle_q <= M_toggle_d;
|
||||
end
|
||||
end
|
||||
|
||||
endmodule
|
68
fifo_2x_reducer.v
Normal file
68
fifo_2x_reducer.v
Normal file
|
@ -0,0 +1,68 @@
|
|||
`timescale 1ns / 1ps
|
||||
/*
|
||||
This file was generated automatically by Alchitry Labs version 1.2.0.
|
||||
Do not edit this file directly. Instead edit the original Lucid source.
|
||||
This is a temporary file and any changes made to it will be destroyed.
|
||||
*/
|
||||
|
||||
/*
|
||||
Parameters:
|
||||
DATA_IN_SIZE = 30
|
||||
*/
|
||||
module fifo_2x_reducer (
|
||||
input rst,
|
||||
input clk,
|
||||
input clkx2,
|
||||
input [29:0] data_in,
|
||||
output reg [14:0] data_out
|
||||
);
|
||||
|
||||
localparam DATA_IN_SIZE = 5'h1e;
|
||||
|
||||
|
||||
wire [1-1:0] M_fifo_full;
|
||||
wire [30-1:0] M_fifo_dout;
|
||||
wire [1-1:0] M_fifo_empty;
|
||||
reg [30-1:0] M_fifo_din;
|
||||
reg [1-1:0] M_fifo_wput;
|
||||
reg [1-1:0] M_fifo_rget;
|
||||
async_fifo fifo (
|
||||
.wclk(clk),
|
||||
.rclk(clkx2),
|
||||
.wrst(rst),
|
||||
.rrst(rst),
|
||||
.din(M_fifo_din),
|
||||
.wput(M_fifo_wput),
|
||||
.rget(M_fifo_rget),
|
||||
.full(M_fifo_full),
|
||||
.dout(M_fifo_dout),
|
||||
.empty(M_fifo_empty)
|
||||
);
|
||||
|
||||
reg M_flag_d, M_flag_q = 1'h0;
|
||||
reg [29:0] M_word_d, M_word_q = 1'h0;
|
||||
|
||||
always @* begin
|
||||
M_flag_d = M_flag_q;
|
||||
M_word_d = M_word_q;
|
||||
|
||||
M_fifo_din = data_in;
|
||||
M_fifo_wput = 1'h1;
|
||||
M_fifo_rget = 1'h0;
|
||||
if (!M_flag_q && !M_fifo_empty) begin
|
||||
M_fifo_rget = 1'h1;
|
||||
M_flag_d = 1'h1;
|
||||
M_word_d = M_fifo_dout;
|
||||
end
|
||||
if (M_flag_q) begin
|
||||
M_flag_d = 1'h0;
|
||||
end
|
||||
data_out = !M_flag_q ? M_word_q[15+14-:15] : M_word_q[0+14-:15];
|
||||
end
|
||||
|
||||
always @(posedge clkx2) begin
|
||||
M_flag_q <= M_flag_d;
|
||||
M_word_q <= M_word_d;
|
||||
end
|
||||
|
||||
endmodule
|
146
hdmi_encoder.v
Normal file
146
hdmi_encoder.v
Normal file
|
@ -0,0 +1,146 @@
|
|||
`timescale 1ns / 1ps
|
||||
/*
|
||||
This file was generated automatically by Alchitry Labs version 1.2.0.
|
||||
Do not edit this file directly. Instead edit the original Lucid source.
|
||||
This is a temporary file and any changes made to it will be destroyed.
|
||||
*/
|
||||
|
||||
/*
|
||||
Parameters:
|
||||
PCLK_DIV = 1
|
||||
Y_RES = HEIGHT
|
||||
X_RES = WIDTH
|
||||
Y_FRAME = HEIGHT+30
|
||||
X_FRAME = WIDTH+387
|
||||
*/
|
||||
|
||||
module hdmi_encoder #(parameter Y_RES = 720, parameter X_RES = 1280, parameter Y_FRAME = Y_RES+30, parameter X_FRAME = X_RES+387) (
|
||||
input clk,
|
||||
input rst,
|
||||
output reg pclk,
|
||||
output reg [3:0] tmds,
|
||||
output reg [3:0] tmdsb,
|
||||
output reg active,
|
||||
output reg [11:0] x,
|
||||
output reg [10:0] y,
|
||||
input [7:0] red,
|
||||
input [7:0] green,
|
||||
input [7:0] blue
|
||||
);
|
||||
|
||||
localparam PCLK_DIV = 1'h1;
|
||||
|
||||
|
||||
reg clkfbin;
|
||||
|
||||
wire [1-1:0] M_pll_oserdes_CLKOUT0;
|
||||
wire [1-1:0] M_pll_oserdes_CLKOUT1;
|
||||
wire [1-1:0] M_pll_oserdes_CLKOUT2;
|
||||
wire [1-1:0] M_pll_oserdes_CLKOUT3;
|
||||
wire [1-1:0] M_pll_oserdes_CLKOUT4;
|
||||
wire [1-1:0] M_pll_oserdes_CLKOUT5;
|
||||
wire [1-1:0] M_pll_oserdes_CLKFBOUT;
|
||||
wire [1-1:0] M_pll_oserdes_LOCKED;
|
||||
PLL_BASE #(.CLKIN_PERIOD(10), .CLKFBOUT_MULT(10), .CLKOUT0_DIVIDE(1), .CLKOUT1_DIVIDE(10), .CLKOUT2_DIVIDE(5), .COMPENSATION("SOURCE_SYNCHRONOUS")) pll_oserdes (
|
||||
.CLKFBIN(clkfbin),
|
||||
.CLKIN(clk),
|
||||
.RST(1'h0),
|
||||
.CLKOUT0(M_pll_oserdes_CLKOUT0),
|
||||
.CLKOUT1(M_pll_oserdes_CLKOUT1),
|
||||
.CLKOUT2(M_pll_oserdes_CLKOUT2),
|
||||
.CLKOUT3(M_pll_oserdes_CLKOUT3),
|
||||
.CLKOUT4(M_pll_oserdes_CLKOUT4),
|
||||
.CLKOUT5(M_pll_oserdes_CLKOUT5),
|
||||
.CLKFBOUT(M_pll_oserdes_CLKFBOUT),
|
||||
.LOCKED(M_pll_oserdes_LOCKED)
|
||||
);
|
||||
|
||||
wire [1-1:0] M_clkfb_buf_O;
|
||||
BUFG clkfb_buf (
|
||||
.I(M_pll_oserdes_CLKFBOUT),
|
||||
.O(M_clkfb_buf_O)
|
||||
);
|
||||
|
||||
always @* begin
|
||||
clkfbin = M_clkfb_buf_O;
|
||||
end
|
||||
|
||||
wire [1-1:0] M_pclkx2_buf_O;
|
||||
BUFG pclkx2_buf (
|
||||
.I(M_pll_oserdes_CLKOUT2),
|
||||
.O(M_pclkx2_buf_O)
|
||||
);
|
||||
|
||||
wire [1-1:0] M_pclk_buf_O;
|
||||
BUFG pclk_buf (
|
||||
.I(M_pll_oserdes_CLKOUT1),
|
||||
.O(M_pclk_buf_O)
|
||||
);
|
||||
|
||||
wire [1-1:0] M_ioclk_buf_IOCLK;
|
||||
wire [1-1:0] M_ioclk_buf_SERDESSTROBE;
|
||||
wire [1-1:0] M_ioclk_buf_LOCK;
|
||||
BUFPLL #(.DIVIDE(5)) ioclk_buf (
|
||||
.PLLIN(M_pll_oserdes_CLKOUT0),
|
||||
.GCLK(M_pclkx2_buf_O),
|
||||
.LOCKED(M_pll_oserdes_LOCKED),
|
||||
.IOCLK(M_ioclk_buf_IOCLK),
|
||||
.SERDESSTROBE(M_ioclk_buf_SERDESSTROBE),
|
||||
.LOCK(M_ioclk_buf_LOCK)
|
||||
);
|
||||
|
||||
reg [11:0] M_ctrX_d, M_ctrX_q = 1'h0;
|
||||
reg [10:0] M_ctrY_d, M_ctrY_q = 1'h0;
|
||||
|
||||
reg hSync;
|
||||
reg vSync;
|
||||
reg drawArea;
|
||||
|
||||
wire [4-1:0] M_dvi_tmds;
|
||||
wire [4-1:0] M_dvi_tmdsb;
|
||||
dvi_encoder dvi (
|
||||
.pclk(M_pclk_buf_O),
|
||||
.pclkx2(M_pclkx2_buf_O),
|
||||
.pclkx10(M_ioclk_buf_IOCLK),
|
||||
.strobe(M_ioclk_buf_SERDESSTROBE),
|
||||
.rst(~M_ioclk_buf_LOCK),
|
||||
.blue(blue),
|
||||
.green(green),
|
||||
.red(red),
|
||||
.hsync(hSync),
|
||||
.vsync(vSync),
|
||||
.de(drawArea),
|
||||
.tmds(M_dvi_tmds),
|
||||
.tmdsb(M_dvi_tmdsb)
|
||||
);
|
||||
|
||||
always @* begin
|
||||
M_ctrY_d = M_ctrY_q;
|
||||
M_ctrX_d = M_ctrX_q;
|
||||
|
||||
M_ctrX_d = (M_ctrX_q == 13'h0682) ? 1'h0 : M_ctrX_q + 1'h1;
|
||||
if (M_ctrX_q == 13'h0682) begin
|
||||
M_ctrY_d = (M_ctrY_q == 12'h2ed) ? 1'h0 : M_ctrY_q + 1'h1;
|
||||
end
|
||||
pclk = M_pclk_buf_O;
|
||||
hSync = (M_ctrX_q >= 12'h50a) && (M_ctrX_q < 12'h514);
|
||||
vSync = (M_ctrY_q >= 11'h2da) && (M_ctrY_q < 11'h2dc);
|
||||
drawArea = (M_ctrX_q < 11'h500) && (M_ctrY_q < 10'h2d0);
|
||||
active = drawArea;
|
||||
x = M_ctrX_q;
|
||||
y = M_ctrY_q;
|
||||
tmds = M_dvi_tmds;
|
||||
tmdsb = M_dvi_tmdsb;
|
||||
end
|
||||
|
||||
always @(posedge M_pclk_buf_O) begin
|
||||
if (rst == 1'b1) begin
|
||||
M_ctrX_q <= 1'h0;
|
||||
M_ctrY_q <= 1'h0;
|
||||
end else begin
|
||||
M_ctrX_q <= M_ctrX_d;
|
||||
M_ctrY_q <= M_ctrY_d;
|
||||
end
|
||||
end
|
||||
|
||||
endmodule
|
0
ipcore_dir/.gitignore
vendored
Normal file
0
ipcore_dir/.gitignore
vendored
Normal file
78
ipcore_dir/_xmsgs/cg.xmsgs
Normal file
78
ipcore_dir/_xmsgs/cg.xmsgs
Normal file
|
@ -0,0 +1,78 @@
|
|||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<!-- IMPORTANT: This is an internal file that has been generated
|
||||
by the Xilinx ISE software. Any direct editing or
|
||||
changes made to this file may result in unpredictable
|
||||
behavior or data corruption. It is strongly advised that
|
||||
users do not edit the contents of this file. -->
|
||||
<messages>
|
||||
<msg type="info" file="sim" num="172" delta="old" >Generating IP...
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">Verilog simulation file type 'Behavioral' is not valid for this core. Overriding with simulation file type 'Structural'.</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">A core named 'microblaze_mcs' already exists in the project. Output products for this core may be overwritten.</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">Verilog simulation file type 'Behavioral' is not valid for this core. Overriding with simulation file type 'Structural'.</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">A core named 'microblaze_mcs' already exists in the project. Output products for this core may be overwritten.</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="info" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">Pre-processing HDL files for 'microblaze_mcs'...</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="info" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">Running microblaze_mcs_gen_script.tcl</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="info" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">Please source the "microblaze_mcs_setup.tcl" script in the Tcl Console to complete MicroBlaze MCS core generation</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">Verilog simulation file type 'Behavioral' is not valid for this core. Overriding with simulation file type 'Structural'.</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="warning" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">Verilog simulation file type 'Behavioral' is not valid for this core. Overriding with simulation file type 'Structural'.</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="info" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">Running microblaze_mcs_sim_script.tcl</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="info" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">C_MICROBLAZE_INSTANCE = microblaze_mcs</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="info" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">Netlist filename = ./_cg/microblaze_mcs.v</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="info" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">Setting INIT_FILE = "microblaze_mcs.lmb_bram_7.mem" for BRAM 7</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="info" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">Setting INIT_FILE = "microblaze_mcs.lmb_bram_6.mem" for BRAM 6</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="info" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">Setting INIT_FILE = "microblaze_mcs.lmb_bram_5.mem" for BRAM 5</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="info" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">Setting INIT_FILE = "microblaze_mcs.lmb_bram_4.mem" for BRAM 4</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="info" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">Setting INIT_FILE = "microblaze_mcs.lmb_bram_3.mem" for BRAM 3</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="info" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">Setting INIT_FILE = "microblaze_mcs.lmb_bram_2.mem" for BRAM 2</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="info" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">Setting INIT_FILE = "microblaze_mcs.lmb_bram_1.mem" for BRAM 1</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="info" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">Setting INIT_FILE = "microblaze_mcs.lmb_bram_0.mem" for BRAM 0</arg>
|
||||
</msg>
|
||||
|
||||
<msg type="info" file="sim" num="949" delta="old" >Finished generation of ASY schematic symbol.
|
||||
</msg>
|
||||
|
||||
<msg type="info" file="sim" num="948" delta="old" >Finished FLIST file generation.
|
||||
</msg>
|
||||
|
||||
</messages>
|
||||
|
15
ipcore_dir/_xmsgs/pn_parser.xmsgs
Normal file
15
ipcore_dir/_xmsgs/pn_parser.xmsgs
Normal file
|
@ -0,0 +1,15 @@
|
|||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<!-- IMPORTANT: This is an internal file that has been generated -->
|
||||
<!-- by the Xilinx ISE software. Any direct editing or -->
|
||||
<!-- changes made to this file may result in unpredictable -->
|
||||
<!-- behavior or data corruption. It is strongly advised that -->
|
||||
<!-- users do not edit the contents of this file. -->
|
||||
<!-- -->
|
||||
<!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
|
||||
|
||||
<messages>
|
||||
<msg type="info" file="ProjectMgmt" num="1845" ><arg fmt="%s" index="1">Analyzing Verilog file "/home/tim/Projects/z80/hdmi/ipcore_dir/hdmi_clk.v" into library work</arg>
|
||||
</msg>
|
||||
|
||||
</messages>
|
||||
|
426
ipcore_dir/coregen.cgc
Normal file
426
ipcore_dir/coregen.cgc
Normal file
|
@ -0,0 +1,426 @@
|
|||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<spirit:design xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xmlns:xilinx="http://www.xilinx.com" >
|
||||
<spirit:vendor>xilinx.com</spirit:vendor>
|
||||
<spirit:library>project</spirit:library>
|
||||
<spirit:name>coregen</spirit:name>
|
||||
<spirit:version>1.0</spirit:version>
|
||||
<spirit:componentInstances>
|
||||
<spirit:componentInstance>
|
||||
<spirit:instanceName>hdmi_clk</spirit:instanceName>
|
||||
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="clk_wiz" spirit:version="3.6" />
|
||||
<spirit:configurableElementValues>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.COMPONENT_NAME">hdmi_clk</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_FREQ_SYNTH">true</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_PHASE_ALIGNMENT">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MIN_POWER">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DYN_PHASE_SHIFT">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DYN_RECONFIG">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.JITTER_SEL">No_Jitter</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_SPREAD_SPECTRUM">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_SPREAD_SPECTRUM_1">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_FREQ">50</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.IN_FREQ_UNITS">Units_MHz</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.IN_JITTER_UNITS">Units_UI</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RELATIVE_INCLK">REL_PRIMARY</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_FREQ">100.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.JITTER_OPTIONS">UI</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN1_UI_JITTER">0.010</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN2_UI_JITTER">0.010</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_JITTER">0.010</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_JITTER">0.010</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN1_JITTER_PS">200.0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN2_JITTER_PS">100.0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_USED">true</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_USED">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_USED">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_USED">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_USED">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_USED">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.NUM_OUT_CLKS">2</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT1_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT2_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT3_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT4_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT5_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT6_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT7_USE_FINE_PS_GUI">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMARY_PORT">CLK_IN1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT1_PORT">CLK_OUT1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT2_PORT">CLK_OUT2</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT3_PORT">CLK_OUT3</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT4_PORT">CLK_OUT4</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT5_PORT">CLK_OUT5</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT6_PORT">CLK_OUT6</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT7_PORT">CLK_OUT7</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DADDR_PORT">DADDR</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCLK_PORT">DCLK</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DRDY_PORT">DRDY</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DWE_PORT">DWE</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIN_PORT">DIN</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DOUT_PORT">DOUT</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DEN_PORT">DEN</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSCLK_PORT">PSCLK</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSEN_PORT">PSEN</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSINCDEC_PORT">PSINCDEC</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSDONE_PORT">PSDONE</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_OUT_FREQ">75</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_OUT_FREQ">150</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_PHASE">0.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MAX_I_JITTER">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MIN_O_JITTER">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_SOURCE">Single_ended_clock_capable_pin</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_INCLK_SWITCHOVER">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_PORT">CLK_IN2</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_SOURCE">Single_ended_clock_capable_pin</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_DRIVES">BUFG</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_DRIVES">BUFG</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_DRIVES">BUFG</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_DRIVES">BUFG</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_DRIVES">BUFG</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_DRIVES">BUFG</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_DRIVES">BUFG</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FEEDBACK_SOURCE">FDBK_AUTO</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_SIGNALING">SINGLE</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_PORT">CLKFB_IN</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_P_PORT">CLKFB_IN_P</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_N_PORT">CLKFB_IN_N</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_PORT">CLKFB_OUT</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_P_PORT">CLKFB_OUT_P</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_N_PORT">CLKFB_OUT_N</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLATFORM">lin64</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SUMMARY_STRINGS">empty</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_LOCKED">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CALC_DONE">DONE</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_RESET">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_POWER_DOWN">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_STATUS">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_FREEZE">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLK_VALID">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_INCLK_STOPPED">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLKFB_STOPPED">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PORT">RESET</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.LOCKED_PORT">LOCKED</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.POWER_DOWN_PORT">POWER_DOWN</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_VALID_PORT">CLK_VALID</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.STATUS_PORT">STATUS</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_IN_SEL_PORT">CLK_IN_SEL</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_CLK_STOPPED_PORT">INPUT_CLK_STOPPED</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_STOPPED_PORT">CLKFB_STOPPED</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERRIDE_MMCM">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_NOTES">None</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_DIVCLK_DIVIDE">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_BANDWIDTH">OPTIMIZED</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_MULT_F">4.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_PHASE">0.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_USE_FINE_PS">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKIN1_PERIOD">10.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKIN2_PERIOD">10.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_CASCADE">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLOCK_HOLD">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_COMPENSATION">ZHOLD</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_REF_JITTER1">0.010</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_REF_JITTER2">0.010</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_STARTUP_WAIT">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_DIVIDE_F">4.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_DUTY_CYCLE">0.500</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_PHASE">0.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_USE_FINE_PS">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_DIVIDE">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_DUTY_CYCLE">0.500</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_PHASE">0.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_USE_FINE_PS">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_DIVIDE">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_DUTY_CYCLE">0.500</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_PHASE">0.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_USE_FINE_PS">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_DIVIDE">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_DUTY_CYCLE">0.500</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_PHASE">0.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_USE_FINE_PS">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_DIVIDE">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_DUTY_CYCLE">0.500</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_PHASE">0.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_USE_FINE_PS">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_DIVIDE">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_DUTY_CYCLE">0.500</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_PHASE">0.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_USE_FINE_PS">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_DIVIDE">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_DUTY_CYCLE">0.500</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_PHASE">0.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_USE_FINE_PS">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERRIDE_DCM">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_NOTES">None</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKDV_DIVIDE">2.0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKFX_DIVIDE">2</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKFX_MULTIPLY">3</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKIN_DIVIDE_BY_2">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKIN_PERIOD">20.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKOUT_PHASE_SHIFT">NONE</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_DESKEW_ADJUST">SYSTEM_SYNCHRONOUS</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_PHASE_SHIFT">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLK_FEEDBACK">NONE</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_STARTUP_WAIT">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLK_OUT1_PORT">CLKFX</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLK_OUT2_PORT">CLK0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLK_OUT3_PORT">CLK0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLK_OUT4_PORT">CLK0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLK_OUT5_PORT">CLK0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLK_OUT6_PORT">CLK0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERRIDE_DCM_CLKGEN">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKGEN_NOTES">None</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKGEN_CLKFX_DIVIDE">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKGEN_CLKFX_MULTIPLY">4</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKGEN_CLKFXDV_DIVIDE">2</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKGEN_CLKFX_MD_MAX">0.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKGEN_STARTUP_WAIT">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKGEN_CLKIN_PERIOD">10.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKGEN_SPREAD_SPECTRUM">NONE</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKGEN_CLK_OUT1_PORT">CLKFX</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKGEN_CLK_OUT2_PORT">CLKFX</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_CLKGEN_CLK_OUT3_PORT">CLKFX</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERRIDE_PLL">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_NOTES">None</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_BANDWIDTH">OPTIMIZED</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKFBOUT_MULT">9</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKFBOUT_PHASE">0.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLK_FEEDBACK">CLKFBOUT</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_DIVCLK_DIVIDE">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKIN_PERIOD">20.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_COMPENSATION">INTERNAL</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_REF_JITTER">0.010</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_DIVIDE">6</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_DUTY_CYCLE">0.500</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_PHASE">0.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_DIVIDE">3</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_DUTY_CYCLE">0.500</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_PHASE">0.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_DIVIDE">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_DUTY_CYCLE">0.500</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_PHASE">0.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_DIVIDE">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_DUTY_CYCLE">0.500</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_PHASE">0.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_DIVIDE">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_DUTY_CYCLE">0.500</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_PHASE">0.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_DIVIDE">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_DUTY_CYCLE">0.500</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_PHASE">0.000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCM_PLL_CASCADE">NONE</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLOCK_MGR_TYPE">AUTO</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMTYPE_SEL">PLL_BASE</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMITIVE">MMCM</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SS_MODE">CENTER_HIGH</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SS_MOD_FREQ">250</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COMPONENT_NAME">hdmi_clk</spirit:configurableElementValue>
|
||||
</spirit:configurableElementValues>
|
||||
<spirit:vendorExtensions>
|
||||
<xilinx:instanceProperties>
|
||||
<xilinx:projectOptions>
|
||||
<xilinx:projectName>coregen</xilinx:projectName>
|
||||
<xilinx:outputDirectory>./</xilinx:outputDirectory>
|
||||
<xilinx:workingDirectory>./tmp/</xilinx:workingDirectory>
|
||||
<xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory>
|
||||
</xilinx:projectOptions>
|
||||
<xilinx:part>
|
||||
<xilinx:device>xc6slx9</xilinx:device>
|
||||
<xilinx:deviceFamily>spartan6</xilinx:deviceFamily>
|
||||
<xilinx:package>tqg144</xilinx:package>
|
||||
<xilinx:speedGrade>-2</xilinx:speedGrade>
|
||||
</xilinx:part>
|
||||
<xilinx:flowOptions>
|
||||
<xilinx:busFormat>BusFormatAngleBracketNotRipped</xilinx:busFormat>
|
||||
<xilinx:designEntry>Verilog</xilinx:designEntry>
|
||||
<xilinx:asySymbol>true</xilinx:asySymbol>
|
||||
<xilinx:flowVendor>Other</xilinx:flowVendor>
|
||||
<xilinx:addPads>false</xilinx:addPads>
|
||||
<xilinx:removeRPMs>false</xilinx:removeRPMs>
|
||||
<xilinx:createNDF>false</xilinx:createNDF>
|
||||
<xilinx:implementationFileType>Ngc</xilinx:implementationFileType>
|
||||
<xilinx:formalVerification>false</xilinx:formalVerification>
|
||||
</xilinx:flowOptions>
|
||||
<xilinx:simulationOptions>
|
||||
<xilinx:simulationModel>Behavioral</xilinx:simulationModel>
|
||||
<xilinx:simulationLanguage>Verilog</xilinx:simulationLanguage>
|
||||
<xilinx:foundationSym>false</xilinx:foundationSym>
|
||||
</xilinx:simulationOptions>
|
||||
<xilinx:packageInfo>
|
||||
<xilinx:sourceCoreCreationDate>2012-05-10+12:44</xilinx:sourceCoreCreationDate>
|
||||
</xilinx:packageInfo>
|
||||
</xilinx:instanceProperties>
|
||||
</spirit:vendorExtensions>
|
||||
</spirit:componentInstance>
|
||||
<spirit:componentInstance>
|
||||
<spirit:instanceName>microblaze_mcs</spirit:instanceName>
|
||||
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="microblaze_mcs" spirit:version="1.4" />
|
||||
<spirit:configurableElementValues>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.JTAG_CHAIN">USER2</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MICROBLAZE_INSTANCE">microblaze_mcs_v1_4</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PATH">mcs_0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FREQ">150</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DEBUG_ENABLED">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TRACE">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MEMSIZE">16KB</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_IO_BUS">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_UART_RX">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_UART_TX">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UART_BAUDRATE">9600</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UART_PROG_BAUDRATE">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UART_DATA_BITS">8</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UART_USE_PARITY">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UART_ODD_PARITY">Even</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UART_RX_INTERRUPT">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UART_TX_INTERRUPT">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.UART_ERROR_INTERRUPT">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_FIT1">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIT1_No_CLOCKS">6216</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIT1_INTERRUPT">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_FIT2">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIT2_No_CLOCKS">6216</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIT2_INTERRUPT">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_FIT3">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIT3_No_CLOCKS">6216</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIT3_INTERRUPT">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_FIT4">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIT4_No_CLOCKS">6216</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIT4_INTERRUPT">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_PIT1">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PIT1_SIZE">32</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PIT1_READABLE">true</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PIT1_PRESCALER">None</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PIT1_INTERRUPT">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_PIT2">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PIT2_SIZE">32</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PIT2_READABLE">true</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PIT2_PRESCALER">None</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PIT2_INTERRUPT">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_PIT3">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PIT3_SIZE">32</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PIT3_READABLE">true</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PIT3_PRESCALER">None</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PIT3_INTERRUPT">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_PIT4">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PIT4_SIZE">32</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PIT4_READABLE">true</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PIT4_PRESCALER">None</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PIT4_INTERRUPT">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_GPO1">true</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GPO1_SIZE">8</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GPO1_INIT">0x00000000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_GPO2">true</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GPO2_SIZE">16</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GPO2_INIT">0x00000000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_GPO3">true</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GPO3_SIZE">2</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GPO3_INIT">0x00000000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_GPO4">true</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GPO4_SIZE">6</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GPO4_INIT">0x00000000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_GPI1">true</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GPI1_SIZE">8</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GPI1_INTERRUPT">None</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_GPI2">true</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GPI2_SIZE">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GPI2_INTERRUPT">Falling_Edge</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_GPI3">true</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GPI3_SIZE">2</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GPI3_INTERRUPT">None</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_GPI4">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GPI4_SIZE">32</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.GPI4_INTERRUPT">None</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INTC_USE_EXT_INTR">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INTC_INTR_SIZE">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INTC_LEVEL_EDGE">0x0000</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INTC_POSITIVE">0xFFFF</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">microblaze_mcs</spirit:configurableElementValue>
|
||||
</spirit:configurableElementValues>
|
||||
<spirit:vendorExtensions>
|
||||
<xilinx:instanceProperties>
|
||||
<xilinx:projectOptions>
|
||||
<xilinx:projectName>coregen</xilinx:projectName>
|
||||
<xilinx:outputDirectory>./</xilinx:outputDirectory>
|
||||
<xilinx:workingDirectory>./tmp/</xilinx:workingDirectory>
|
||||
<xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory>
|
||||
</xilinx:projectOptions>
|
||||
<xilinx:part>
|
||||
<xilinx:device>xc6slx9</xilinx:device>
|
||||
<xilinx:deviceFamily>spartan6</xilinx:deviceFamily>
|
||||
<xilinx:package>tqg144</xilinx:package>
|
||||
<xilinx:speedGrade>-2</xilinx:speedGrade>
|
||||
</xilinx:part>
|
||||
<xilinx:flowOptions>
|
||||
<xilinx:busFormat>BusFormatAngleBracketNotRipped</xilinx:busFormat>
|
||||
<xilinx:designEntry>Verilog</xilinx:designEntry>
|
||||
<xilinx:asySymbol>true</xilinx:asySymbol>
|
||||
<xilinx:flowVendor>Other</xilinx:flowVendor>
|
||||
<xilinx:addPads>false</xilinx:addPads>
|
||||
<xilinx:removeRPMs>false</xilinx:removeRPMs>
|
||||
<xilinx:createNDF>false</xilinx:createNDF>
|
||||
<xilinx:implementationFileType>Ngc</xilinx:implementationFileType>
|
||||
<xilinx:formalVerification>false</xilinx:formalVerification>
|
||||
</xilinx:flowOptions>
|
||||
<xilinx:simulationOptions>
|
||||
<xilinx:simulationModel>Behavioral</xilinx:simulationModel>
|
||||
<xilinx:simulationLanguage>Verilog</xilinx:simulationLanguage>
|
||||
<xilinx:foundationSym>false</xilinx:foundationSym>
|
||||
</xilinx:simulationOptions>
|
||||
<xilinx:packageInfo>
|
||||
<xilinx:sourceCoreCreationDate>2012-11-21+08:11</xilinx:sourceCoreCreationDate>
|
||||
</xilinx:packageInfo>
|
||||
</xilinx:instanceProperties>
|
||||
</spirit:vendorExtensions>
|
||||
</spirit:componentInstance>
|
||||
</spirit:componentInstances>
|
||||
<spirit:vendorExtensions>
|
||||
<xilinx:instanceProperties>
|
||||
<xilinx:projectOptions>
|
||||
<xilinx:projectName>coregen</xilinx:projectName>
|
||||
<xilinx:outputDirectory>./</xilinx:outputDirectory>
|
||||
<xilinx:workingDirectory>./tmp/</xilinx:workingDirectory>
|
||||
<xilinx:subWorkingDirectory>./tmp/_cg/</xilinx:subWorkingDirectory>
|
||||
</xilinx:projectOptions>
|
||||
<xilinx:part>
|
||||
<xilinx:device>xc6slx9</xilinx:device>
|
||||
<xilinx:deviceFamily>spartan6</xilinx:deviceFamily>
|
||||
<xilinx:package>tqg144</xilinx:package>
|
||||
<xilinx:speedGrade>-2</xilinx:speedGrade>
|
||||
</xilinx:part>
|
||||
<xilinx:flowOptions>
|
||||
<xilinx:busFormat>BusFormatAngleBracketNotRipped</xilinx:busFormat>
|
||||
<xilinx:designEntry>Verilog</xilinx:designEntry>
|
||||
<xilinx:asySymbol>true</xilinx:asySymbol>
|
||||
<xilinx:flowVendor>Other</xilinx:flowVendor>
|
||||
<xilinx:addPads>false</xilinx:addPads>
|
||||
<xilinx:removeRPMs>false</xilinx:removeRPMs>
|
||||
<xilinx:createNDF>false</xilinx:createNDF>
|
||||
<xilinx:implementationFileType>Ngc</xilinx:implementationFileType>
|
||||
<xilinx:formalVerification>false</xilinx:formalVerification>
|
||||
</xilinx:flowOptions>
|
||||
<xilinx:simulationOptions>
|
||||
<xilinx:simulationModel>Behavioral</xilinx:simulationModel>
|
||||
<xilinx:simulationLanguage>Verilog</xilinx:simulationLanguage>
|
||||
<xilinx:foundationSym>false</xilinx:foundationSym>
|
||||
</xilinx:simulationOptions>
|
||||
</xilinx:instanceProperties>
|
||||
</spirit:vendorExtensions>
|
||||
</spirit:design>
|
||||
|
9
ipcore_dir/coregen.cgp
Normal file
9
ipcore_dir/coregen.cgp
Normal file
|
@ -0,0 +1,9 @@
|
|||
SET busformat = BusFormatAngleBracketNotRipped
|
||||
SET designentry = Verilog
|
||||
SET device = xc6slx9
|
||||
SET devicefamily = spartan6
|
||||
SET flowvendor = Other
|
||||
SET package = tqg144
|
||||
SET speedgrade = -2
|
||||
SET verilogsim = true
|
||||
SET vhdlsim = false
|
37
ipcore_dir/create_clk_wiz.tcl
Normal file
37
ipcore_dir/create_clk_wiz.tcl
Normal file
|
@ -0,0 +1,37 @@
|
|||
##
|
||||
## Core Generator Run Script, generator for Project Navigator create command
|
||||
##
|
||||
|
||||
proc findRtfPath { relativePath } {
|
||||
set xilenv ""
|
||||
if { [info exists ::env(XILINX) ] } {
|
||||
if { [info exists ::env(MYXILINX)] } {
|
||||
set xilenv [join [list $::env(MYXILINX) $::env(XILINX)] $::xilinx::path_sep ]
|
||||
} else {
|
||||
set xilenv $::env(XILINX)
|
||||
}
|
||||
}
|
||||
foreach path [ split $xilenv $::xilinx::path_sep ] {
|
||||
set fullPath [ file join $path $relativePath ]
|
||||
if { [ file exists $fullPath ] } {
|
||||
return $fullPath
|
||||
}
|
||||
}
|
||||
return ""
|
||||
}
|
||||
|
||||
source [ findRtfPath "data/projnav/scripts/dpm_cgUtils.tcl" ]
|
||||
|
||||
set result [ run_cg_create "xilinx.com:ip:clk_wiz:3.6" "clk_wiz" "Clocking Wizard" "Clocking Wizard (xilinx.com:ip:clk_wiz:3.6) generated by Project Navigator" xc6slx9-2tqg144 Verilog ]
|
||||
|
||||
if { $result == 0 } {
|
||||
puts "Core Generator create command completed successfully."
|
||||
} elseif { $result == 1 } {
|
||||
puts "Core Generator create command failed."
|
||||
} elseif { $result == 3 || $result == 4 } {
|
||||
# convert 'version check' result to real return range, bypassing any messages.
|
||||
set result [ expr $result - 3 ]
|
||||
} else {
|
||||
puts "Core Generator create cancelled."
|
||||
}
|
||||
exit $result
|
37
ipcore_dir/create_hdmi_clk.tcl
Normal file
37
ipcore_dir/create_hdmi_clk.tcl
Normal file
|
@ -0,0 +1,37 @@
|
|||
##
|
||||
## Core Generator Run Script, generator for Project Navigator create command
|
||||
##
|
||||
|
||||
proc findRtfPath { relativePath } {
|
||||
set xilenv ""
|
||||
if { [info exists ::env(XILINX) ] } {
|
||||
if { [info exists ::env(MYXILINX)] } {
|
||||
set xilenv [join [list $::env(MYXILINX) $::env(XILINX)] $::xilinx::path_sep ]
|
||||
} else {
|
||||
set xilenv $::env(XILINX)
|
||||
}
|
||||
}
|
||||
foreach path [ split $xilenv $::xilinx::path_sep ] {
|
||||
set fullPath [ file join $path $relativePath ]
|
||||
if { [ file exists $fullPath ] } {
|
||||
return $fullPath
|
||||
}
|
||||
}
|
||||
return ""
|
||||
}
|
||||
|
||||
source [ findRtfPath "data/projnav/scripts/dpm_cgUtils.tcl" ]
|
||||
|
||||
set result [ run_cg_create "xilinx.com:ip:clk_wiz:3.6" "hdmi_clk" "Clocking Wizard" "Clocking Wizard (xilinx.com:ip:clk_wiz:3.6) generated by Project Navigator" xc6slx9-2tqg144 Verilog ]
|
||||
|
||||
if { $result == 0 } {
|
||||
puts "Core Generator create command completed successfully."
|
||||
} elseif { $result == 1 } {
|
||||
puts "Core Generator create command failed."
|
||||
} elseif { $result == 3 || $result == 4 } {
|
||||
# convert 'version check' result to real return range, bypassing any messages.
|
||||
set result [ expr $result - 3 ]
|
||||
} else {
|
||||
puts "Core Generator create cancelled."
|
||||
}
|
||||
exit $result
|
37
ipcore_dir/create_microblaze_mcs.tcl
Normal file
37
ipcore_dir/create_microblaze_mcs.tcl
Normal file
|
@ -0,0 +1,37 @@
|
|||
##
|
||||
## Core Generator Run Script, generator for Project Navigator create command
|
||||
##
|
||||
|
||||
proc findRtfPath { relativePath } {
|
||||
set xilenv ""
|
||||
if { [info exists ::env(XILINX) ] } {
|
||||
if { [info exists ::env(MYXILINX)] } {
|
||||
set xilenv [join [list $::env(MYXILINX) $::env(XILINX)] $::xilinx::path_sep ]
|
||||
} else {
|
||||
set xilenv $::env(XILINX)
|
||||
}
|
||||
}
|
||||
foreach path [ split $xilenv $::xilinx::path_sep ] {
|
||||
set fullPath [ file join $path $relativePath ]
|
||||
if { [ file exists $fullPath ] } {
|
||||
return $fullPath
|
||||
}
|
||||
}
|
||||
return ""
|
||||
}
|
||||
|
||||
source [ findRtfPath "data/projnav/scripts/dpm_cgUtils.tcl" ]
|
||||
|
||||
set result [ run_cg_create "xilinx.com:ip:microblaze_mcs:1.4" "microblaze_mcs" "MicroBlaze MCS" "MicroBlaze MCS (xilinx.com:ip:microblaze_mcs:1.4) generated by Project Navigator" xc6slx9-2tqg144 Verilog ]
|
||||
|
||||
if { $result == 0 } {
|
||||
puts "Core Generator create command completed successfully."
|
||||
} elseif { $result == 1 } {
|
||||
puts "Core Generator create command failed."
|
||||
} elseif { $result == 3 || $result == 4 } {
|
||||
# convert 'version check' result to real return range, bypassing any messages.
|
||||
set result [ expr $result - 3 ]
|
||||
} else {
|
||||
puts "Core Generator create cancelled."
|
||||
}
|
||||
exit $result
|
37
ipcore_dir/edit_hdmi_clk.tcl
Normal file
37
ipcore_dir/edit_hdmi_clk.tcl
Normal file
|
@ -0,0 +1,37 @@
|
|||
##
|
||||
## Core Generator Run Script, generator for Project Navigator edit command
|
||||
##
|
||||
|
||||
proc findRtfPath { relativePath } {
|
||||
set xilenv ""
|
||||
if { [info exists ::env(XILINX) ] } {
|
||||
if { [info exists ::env(MYXILINX)] } {
|
||||
set xilenv [join [list $::env(MYXILINX) $::env(XILINX)] $::xilinx::path_sep ]
|
||||
} else {
|
||||
set xilenv $::env(XILINX)
|
||||
}
|
||||
}
|
||||
foreach path [ split $xilenv $::xilinx::path_sep ] {
|
||||
set fullPath [ file join $path $relativePath ]
|
||||
if { [ file exists $fullPath ] } {
|
||||
return $fullPath
|
||||
}
|
||||
}
|
||||
return ""
|
||||
}
|
||||
|
||||
source [ findRtfPath "data/projnav/scripts/dpm_cgUtils.tcl" ]
|
||||
|
||||
set result [ run_cg_edit "hdmi_clk" xc6slx9-2tqg144 Verilog ]
|
||||
|
||||
if { $result == 0 } {
|
||||
puts "Core Generator edit command completed successfully."
|
||||
} elseif { $result == 1 } {
|
||||
puts "Core Generator edit command failed."
|
||||
} elseif { $result == 3 || $result == 4 } {
|
||||
# convert 'version check' result to real return range, bypassing any messages.
|
||||
set result [ expr $result - 3 ]
|
||||
} else {
|
||||
puts "Core Generator edit cancelled."
|
||||
}
|
||||
exit $result
|
37
ipcore_dir/edit_microblaze_mcs.tcl
Normal file
37
ipcore_dir/edit_microblaze_mcs.tcl
Normal file
|
@ -0,0 +1,37 @@
|
|||
##
|
||||
## Core Generator Run Script, generator for Project Navigator edit command
|
||||
##
|
||||
|
||||
proc findRtfPath { relativePath } {
|
||||
set xilenv ""
|
||||
if { [info exists ::env(XILINX) ] } {
|
||||
if { [info exists ::env(MYXILINX)] } {
|
||||
set xilenv [join [list $::env(MYXILINX) $::env(XILINX)] $::xilinx::path_sep ]
|
||||
} else {
|
||||
set xilenv $::env(XILINX)
|
||||
}
|
||||
}
|
||||
foreach path [ split $xilenv $::xilinx::path_sep ] {
|
||||
set fullPath [ file join $path $relativePath ]
|
||||
if { [ file exists $fullPath ] } {
|
||||
return $fullPath
|
||||
}
|
||||
}
|
||||
return ""
|
||||
}
|
||||
|
||||
source [ findRtfPath "data/projnav/scripts/dpm_cgUtils.tcl" ]
|
||||
|
||||
set result [ run_cg_edit "microblaze_mcs" xc6slx9-2tqg144 Verilog ]
|
||||
|
||||
if { $result == 0 } {
|
||||
puts "Core Generator edit command completed successfully."
|
||||
} elseif { $result == 1 } {
|
||||
puts "Core Generator edit command failed."
|
||||
} elseif { $result == 3 || $result == 4 } {
|
||||
# convert 'version check' result to real return range, bypassing any messages.
|
||||
set result [ expr $result - 3 ]
|
||||
} else {
|
||||
puts "Core Generator edit cancelled."
|
||||
}
|
||||
exit $result
|
17
ipcore_dir/hdmi_clk.asy
Normal file
17
ipcore_dir/hdmi_clk.asy
Normal file
|
@ -0,0 +1,17 @@
|
|||
Version 4
|
||||
SymbolType BLOCK
|
||||
TEXT 32 32 LEFT 4 hdmi_clk
|
||||
RECTANGLE Normal 32 32 576 1088
|
||||
LINE Normal 0 80 32 80
|
||||
PIN 0 80 LEFT 36
|
||||
PINATTR PinName clk_in1
|
||||
PINATTR Polarity IN
|
||||
LINE Normal 608 80 576 80
|
||||
PIN 608 80 RIGHT 36
|
||||
PINATTR PinName clk_out1
|
||||
PINATTR Polarity OUT
|
||||
LINE Normal 608 176 576 176
|
||||
PIN 608 176 RIGHT 36
|
||||
PINATTR PinName clk_out2
|
||||
PINATTR Polarity OUT
|
||||
|
52
ipcore_dir/hdmi_clk.gise
Normal file
52
ipcore_dir/hdmi_clk.gise
Normal file
|
@ -0,0 +1,52 @@
|
|||
<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
|
||||
<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
|
||||
|
||||
<!-- -->
|
||||
|
||||
<!-- For tool use only. Do not edit. -->
|
||||
|
||||
<!-- -->
|
||||
|
||||
<!-- ProjectNavigator created generated project file. -->
|
||||
|
||||
<!-- For use in tracking generated file and other information -->
|
||||
|
||||
<!-- allowing preservation of process status. -->
|
||||
|
||||
<!-- -->
|
||||
|
||||
<!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
|
||||
|
||||
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
|
||||
|
||||
<sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="hdmi_clk.xise"/>
|
||||
|
||||
<files xmlns="http://www.xilinx.com/XMLSchema">
|
||||
<file xil_pn:fileType="FILE_ASY" xil_pn:name="hdmi_clk.asy" xil_pn:origination="imported"/>
|
||||
<file xil_pn:fileType="FILE_VEO" xil_pn:name="hdmi_clk.veo" xil_pn:origination="imported"/>
|
||||
</files>
|
||||
|
||||
<transforms xmlns="http://www.xilinx.com/XMLSchema">
|
||||
<transform xil_pn:end_ts="1600022764" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1600022764">
|
||||
<status xil_pn:value="SuccessfullyRun"/>
|
||||
<status xil_pn:value="ReadyToRun"/>
|
||||
</transform>
|
||||
<transform xil_pn:end_ts="1600550220" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="-8597742380004556400" xil_pn:start_ts="1600550220">
|
||||
<status xil_pn:value="SuccessfullyRun"/>
|
||||
<status xil_pn:value="ReadyToRun"/>
|
||||
</transform>
|
||||
<transform xil_pn:end_ts="1600550220" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="-1825882304215661665" xil_pn:start_ts="1600550220">
|
||||
<status xil_pn:value="SuccessfullyRun"/>
|
||||
<status xil_pn:value="ReadyToRun"/>
|
||||
</transform>
|
||||
<transform xil_pn:end_ts="1600550220" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1600550220">
|
||||
<status xil_pn:value="SuccessfullyRun"/>
|
||||
<status xil_pn:value="ReadyToRun"/>
|
||||
</transform>
|
||||
<transform xil_pn:end_ts="1600550220" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="1294299709957645202" xil_pn:start_ts="1600550220">
|
||||
<status xil_pn:value="SuccessfullyRun"/>
|
||||
<status xil_pn:value="ReadyToRun"/>
|
||||
</transform>
|
||||
</transforms>
|
||||
|
||||
</generated_project>
|
59
ipcore_dir/hdmi_clk.ncf
Normal file
59
ipcore_dir/hdmi_clk.ncf
Normal file
|
@ -0,0 +1,59 @@
|
|||
# file: hdmi_clk.ucf
|
||||
#
|
||||
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
#
|
||||
# This file contains confidential and proprietary information
|
||||
# of Xilinx, Inc. and is protected under U.S. and
|
||||
# international copyright and other intellectual property
|
||||
# laws.
|
||||
#
|
||||
# DISCLAIMER
|
||||
# This disclaimer is not a license and does not grant any
|
||||
# rights to the materials distributed herewith. Except as
|
||||
# otherwise provided in a valid license issued to you by
|
||||
# Xilinx, and to the maximum extent permitted by applicable
|
||||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
# (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
# including negligence, or under any other theory of
|
||||
# liability) for any loss or damage of any kind or nature
|
||||
# related to, arising under or in connection with these
|
||||
# materials, including for any direct, or any indirect,
|
||||
# special, incidental, or consequential loss or damage
|
||||
# (including loss of data, profits, goodwill, or any type of
|
||||
# loss or damage suffered as a result of any action brought
|
||||
# by a third party) even if such damage or loss was
|
||||
# reasonably foreseeable or Xilinx had been advised of the
|
||||
# possibility of the same.
|
||||
#
|
||||
# CRITICAL APPLICATIONS
|
||||
# Xilinx products are not designed or intended to be fail-
|
||||
# safe, or for use in any application requiring fail-safe
|
||||
# performance, such as life-support or safety devices or
|
||||
# systems, Class III medical devices, nuclear facilities,
|
||||
# applications related to the deployment of airbags, or any
|
||||
# other applications that could lead to death, personal
|
||||
# injury, or severe property or environmental damage
|
||||
# (individually and collectively, "Critical
|
||||
# Applications"). Customer assumes the sole risk and
|
||||
# liability of any use of Xilinx products in Critical
|
||||
# Applications, subject only to applicable laws and
|
||||
# regulations governing limitations on product liability.
|
||||
#
|
||||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
# PART OF THIS FILE AT ALL TIMES.
|
||||
#
|
||||
|
||||
# Input clock periods. These duplicate the values entered for the
|
||||
# input clocks. You can use these to time your system
|
||||
#----------------------------------------------------------------
|
||||
NET "CLK_IN1" TNM_NET = "CLK_IN1";
|
||||
TIMESPEC "TS_CLK_IN1" = PERIOD "CLK_IN1" 20.000 ns HIGH 50% INPUT_JITTER 200.0ps;
|
||||
|
||||
|
||||
# FALSE PATH constraints
|
||||
|
||||
|
18
ipcore_dir/hdmi_clk.sym
Normal file
18
ipcore_dir/hdmi_clk.sym
Normal file
|
@ -0,0 +1,18 @@
|
|||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<symbol version="7" name="hdmi_clk">
|
||||
<symboltype>BLOCK</symboltype>
|
||||
<timestamp>2020-9-17T15:18:55</timestamp>
|
||||
<pin polarity="Input" x="0" y="80" name="clk_in1" />
|
||||
<pin polarity="Output" x="608" y="80" name="clk_out1" />
|
||||
<pin polarity="Output" x="608" y="176" name="clk_out2" />
|
||||
<graph>
|
||||
<text style="fontsize:40;fontname:Arial" x="32" y="32">hdmi_clk</text>
|
||||
<rect width="544" x="32" y="32" height="1056" />
|
||||
<line x2="32" y1="80" y2="80" x1="0" />
|
||||
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="36" y="80" type="pin clk_in1" />
|
||||
<line x2="576" y1="80" y2="80" x1="608" />
|
||||
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="572" y="80" type="pin clk_out1" />
|
||||
<line x2="576" y1="176" y2="176" x1="608" />
|
||||
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="572" y="176" type="pin clk_out2" />
|
||||
</graph>
|
||||
</symbol>
|
58
ipcore_dir/hdmi_clk.ucf
Executable file
58
ipcore_dir/hdmi_clk.ucf
Executable file
|
@ -0,0 +1,58 @@
|
|||
# file: hdmi_clk.ucf
|
||||
#
|
||||
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
#
|
||||
# This file contains confidential and proprietary information
|
||||
# of Xilinx, Inc. and is protected under U.S. and
|
||||
# international copyright and other intellectual property
|
||||
# laws.
|
||||
#
|
||||
# DISCLAIMER
|
||||
# This disclaimer is not a license and does not grant any
|
||||
# rights to the materials distributed herewith. Except as
|
||||
# otherwise provided in a valid license issued to you by
|
||||
# Xilinx, and to the maximum extent permitted by applicable
|
||||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
# (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
# including negligence, or under any other theory of
|
||||
# liability) for any loss or damage of any kind or nature
|
||||
# related to, arising under or in connection with these
|
||||
# materials, including for any direct, or any indirect,
|
||||
# special, incidental, or consequential loss or damage
|
||||
# (including loss of data, profits, goodwill, or any type of
|
||||
# loss or damage suffered as a result of any action brought
|
||||
# by a third party) even if such damage or loss was
|
||||
# reasonably foreseeable or Xilinx had been advised of the
|
||||
# possibility of the same.
|
||||
#
|
||||
# CRITICAL APPLICATIONS
|
||||
# Xilinx products are not designed or intended to be fail-
|
||||
# safe, or for use in any application requiring fail-safe
|
||||
# performance, such as life-support or safety devices or
|
||||
# systems, Class III medical devices, nuclear facilities,
|
||||
# applications related to the deployment of airbags, or any
|
||||
# other applications that could lead to death, personal
|
||||
# injury, or severe property or environmental damage
|
||||
# (individually and collectively, "Critical
|
||||
# Applications"). Customer assumes the sole risk and
|
||||
# liability of any use of Xilinx products in Critical
|
||||
# Applications, subject only to applicable laws and
|
||||
# regulations governing limitations on product liability.
|
||||
#
|
||||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
# PART OF THIS FILE AT ALL TIMES.
|
||||
#
|
||||
|
||||
# Input clock periods. These duplicate the values entered for the
|
||||
# input clocks. You can use these to time your system
|
||||
#----------------------------------------------------------------
|
||||
NET "CLK_IN1" TNM_NET = "CLK_IN1";
|
||||
TIMESPEC "TS_CLK_IN1" = PERIOD "CLK_IN1" 20.000 ns HIGH 50% INPUT_JITTER 200.0ps;
|
||||
|
||||
|
||||
# FALSE PATH constraints
|
||||
|
143
ipcore_dir/hdmi_clk.v
Executable file
143
ipcore_dir/hdmi_clk.v
Executable file
|
@ -0,0 +1,143 @@
|
|||
// file: hdmi_clk.v
|
||||
//
|
||||
// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
//
|
||||
// This file contains confidential and proprietary information
|
||||
// of Xilinx, Inc. and is protected under U.S. and
|
||||
// international copyright and other intellectual property
|
||||
// laws.
|
||||
//
|
||||
// DISCLAIMER
|
||||
// This disclaimer is not a license and does not grant any
|
||||
// rights to the materials distributed herewith. Except as
|
||||
// otherwise provided in a valid license issued to you by
|
||||
// Xilinx, and to the maximum extent permitted by applicable
|
||||
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
// (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
// including negligence, or under any other theory of
|
||||
// liability) for any loss or damage of any kind or nature
|
||||
// related to, arising under or in connection with these
|
||||
// materials, including for any direct, or any indirect,
|
||||
// special, incidental, or consequential loss or damage
|
||||
// (including loss of data, profits, goodwill, or any type of
|
||||
// loss or damage suffered as a result of any action brought
|
||||
// by a third party) even if such damage or loss was
|
||||
// reasonably foreseeable or Xilinx had been advised of the
|
||||
// possibility of the same.
|
||||
//
|
||||
// CRITICAL APPLICATIONS
|
||||
// Xilinx products are not designed or intended to be fail-
|
||||
// safe, or for use in any application requiring fail-safe
|
||||
// performance, such as life-support or safety devices or
|
||||
// systems, Class III medical devices, nuclear facilities,
|
||||
// applications related to the deployment of airbags, or any
|
||||
// other applications that could lead to death, personal
|
||||
// injury, or severe property or environmental damage
|
||||
// (individually and collectively, "Critical
|
||||
// Applications"). Customer assumes the sole risk and
|
||||
// liability of any use of Xilinx products in Critical
|
||||
// Applications, subject only to applicable laws and
|
||||
// regulations governing limitations on product liability.
|
||||
//
|
||||
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
// PART OF THIS FILE AT ALL TIMES.
|
||||
//
|
||||
//----------------------------------------------------------------------------
|
||||
// User entered comments
|
||||
//----------------------------------------------------------------------------
|
||||
// None
|
||||
//
|
||||
//----------------------------------------------------------------------------
|
||||
// "Output Output Phase Duty Pk-to-Pk Phase"
|
||||
// "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
|
||||
//----------------------------------------------------------------------------
|
||||
// CLK_OUT1____75.000______0.000______50.0______248.869____240.171
|
||||
// CLK_OUT2___150.000______0.000______50.0______216.897____240.171
|
||||
//
|
||||
//----------------------------------------------------------------------------
|
||||
// "Input Clock Freq (MHz) Input Jitter (UI)"
|
||||
//----------------------------------------------------------------------------
|
||||
// __primary______________50____________0.010
|
||||
|
||||
`timescale 1ps/1ps
|
||||
|
||||
(* CORE_GENERATION_INFO = "hdmi_clk,clk_wiz_v3_6,{component_name=hdmi_clk,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=2,clkin1_period=20.000,clkin2_period=20.000,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}" *)
|
||||
module hdmi_clk
|
||||
(// Clock in ports
|
||||
input CLK_IN1,
|
||||
// Clock out ports
|
||||
output CLK_OUT1,
|
||||
output CLK_OUT2
|
||||
);
|
||||
|
||||
// Input buffering
|
||||
//------------------------------------
|
||||
IBUFG clkin1_buf
|
||||
(.O (clkin1),
|
||||
.I (CLK_IN1));
|
||||
|
||||
|
||||
// Clocking primitive
|
||||
//------------------------------------
|
||||
// Instantiation of the PLL primitive
|
||||
// * Unused inputs are tied off
|
||||
// * Unused outputs are labeled unused
|
||||
wire [15:0] do_unused;
|
||||
wire drdy_unused;
|
||||
wire locked_unused;
|
||||
wire clkfbout;
|
||||
wire clkout2_unused;
|
||||
wire clkout3_unused;
|
||||
wire clkout4_unused;
|
||||
wire clkout5_unused;
|
||||
|
||||
PLL_BASE
|
||||
#(.BANDWIDTH ("OPTIMIZED"),
|
||||
.CLK_FEEDBACK ("CLKFBOUT"),
|
||||
.COMPENSATION ("INTERNAL"),
|
||||
.DIVCLK_DIVIDE (1),
|
||||
.CLKFBOUT_MULT (9),
|
||||
.CLKFBOUT_PHASE (0.000),
|
||||
.CLKOUT0_DIVIDE (6),
|
||||
.CLKOUT0_PHASE (0.000),
|
||||
.CLKOUT0_DUTY_CYCLE (0.500),
|
||||
.CLKOUT1_DIVIDE (3),
|
||||
.CLKOUT1_PHASE (0.000),
|
||||
.CLKOUT1_DUTY_CYCLE (0.500),
|
||||
.CLKIN_PERIOD (20.000),
|
||||
.REF_JITTER (0.010))
|
||||
pll_base_inst
|
||||
// Output clocks
|
||||
(.CLKFBOUT (clkfbout),
|
||||
.CLKOUT0 (clkout0),
|
||||
.CLKOUT1 (clkout1),
|
||||
.CLKOUT2 (clkout2_unused),
|
||||
.CLKOUT3 (clkout3_unused),
|
||||
.CLKOUT4 (clkout4_unused),
|
||||
.CLKOUT5 (clkout5_unused),
|
||||
.LOCKED (locked_unused),
|
||||
.RST (1'b0),
|
||||
// Input clock control
|
||||
.CLKFBIN (clkfbout),
|
||||
.CLKIN (clkin1));
|
||||
|
||||
|
||||
// Output buffering
|
||||
//-----------------------------------
|
||||
|
||||
BUFG clkout1_buf
|
||||
(.O (CLK_OUT1),
|
||||
.I (clkout0));
|
||||
|
||||
|
||||
BUFG clkout2_buf
|
||||
(.O (CLK_OUT2),
|
||||
.I (clkout1));
|
||||
|
||||
|
||||
|
||||
endmodule
|
77
ipcore_dir/hdmi_clk.veo
Executable file
77
ipcore_dir/hdmi_clk.veo
Executable file
|
@ -0,0 +1,77 @@
|
|||
//
|
||||
// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
//
|
||||
// This file contains confidential and proprietary information
|
||||
// of Xilinx, Inc. and is protected under U.S. and
|
||||
// international copyright and other intellectual property
|
||||
// laws.
|
||||
//
|
||||
// DISCLAIMER
|
||||
// This disclaimer is not a license and does not grant any
|
||||
// rights to the materials distributed herewith. Except as
|
||||
// otherwise provided in a valid license issued to you by
|
||||
// Xilinx, and to the maximum extent permitted by applicable
|
||||
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
// (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
// including negligence, or under any other theory of
|
||||
// liability) for any loss or damage of any kind or nature
|
||||
// related to, arising under or in connection with these
|
||||
// materials, including for any direct, or any indirect,
|
||||
// special, incidental, or consequential loss or damage
|
||||
// (including loss of data, profits, goodwill, or any type of
|
||||
// loss or damage suffered as a result of any action brought
|
||||
// by a third party) even if such damage or loss was
|
||||
// reasonably foreseeable or Xilinx had been advised of the
|
||||
// possibility of the same.
|
||||
//
|
||||
// CRITICAL APPLICATIONS
|
||||
// Xilinx products are not designed or intended to be fail-
|
||||
// safe, or for use in any application requiring fail-safe
|
||||
// performance, such as life-support or safety devices or
|
||||
// systems, Class III medical devices, nuclear facilities,
|
||||
// applications related to the deployment of airbags, or any
|
||||
// other applications that could lead to death, personal
|
||||
// injury, or severe property or environmental damage
|
||||
// (individually and collectively, "Critical
|
||||
// Applications"). Customer assumes the sole risk and
|
||||
// liability of any use of Xilinx products in Critical
|
||||
// Applications, subject only to applicable laws and
|
||||
// regulations governing limitations on product liability.
|
||||
//
|
||||
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
// PART OF THIS FILE AT ALL TIMES.
|
||||
//
|
||||
//----------------------------------------------------------------------------
|
||||
// User entered comments
|
||||
//----------------------------------------------------------------------------
|
||||
// None
|
||||
//
|
||||
//----------------------------------------------------------------------------
|
||||
// "Output Output Phase Duty Pk-to-Pk Phase"
|
||||
// "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
|
||||
//----------------------------------------------------------------------------
|
||||
// CLK_OUT1____75.000______0.000______50.0______248.869____240.171
|
||||
// CLK_OUT2___150.000______0.000______50.0______216.897____240.171
|
||||
//
|
||||
//----------------------------------------------------------------------------
|
||||
// "Input Clock Freq (MHz) Input Jitter (UI)"
|
||||
//----------------------------------------------------------------------------
|
||||
// __primary______________50____________0.010
|
||||
|
||||
// The following must be inserted into your Verilog file for this
|
||||
// core to be instantiated. Change the instance name and port connections
|
||||
// (in parentheses) to your own signal names.
|
||||
|
||||
//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
|
||||
|
||||
hdmi_clk instance_name
|
||||
(// Clock in ports
|
||||
.CLK_IN1(CLK_IN1), // IN
|
||||
// Clock out ports
|
||||
.CLK_OUT1(CLK_OUT1), // OUT
|
||||
.CLK_OUT2(CLK_OUT2)); // OUT
|
||||
// INST_TAG_END ------ End INSTANTIATION Template ---------
|
269
ipcore_dir/hdmi_clk.xco
Normal file
269
ipcore_dir/hdmi_clk.xco
Normal file
|
@ -0,0 +1,269 @@
|
|||
##############################################################
|
||||
#
|
||||
# Xilinx Core Generator version 14.7
|
||||
# Date: Thu Sep 17 15:18:44 2020
|
||||
#
|
||||
##############################################################
|
||||
#
|
||||
# This file contains the customisation parameters for a
|
||||
# Xilinx CORE Generator IP GUI. It is strongly recommended
|
||||
# that you do not manually alter this file as it may cause
|
||||
# unexpected and unsupported behavior.
|
||||
#
|
||||
##############################################################
|
||||
#
|
||||
# Generated from component: xilinx.com:ip:clk_wiz:3.6
|
||||
#
|
||||
##############################################################
|
||||
#
|
||||
# BEGIN Project Options
|
||||
SET addpads = false
|
||||
SET asysymbol = true
|
||||
SET busformat = BusFormatAngleBracketNotRipped
|
||||
SET createndf = false
|
||||
SET designentry = Verilog
|
||||
SET device = xc6slx9
|
||||
SET devicefamily = spartan6
|
||||
SET flowvendor = Other
|
||||
SET formalverification = false
|
||||
SET foundationsym = false
|
||||
SET implementationfiletype = Ngc
|
||||
SET package = tqg144
|
||||
SET removerpms = false
|
||||
SET simulationfiles = Behavioral
|
||||
SET speedgrade = -2
|
||||
SET verilogsim = true
|
||||
SET vhdlsim = false
|
||||
# END Project Options
|
||||
# BEGIN Select
|
||||
SELECT Clocking_Wizard xilinx.com:ip:clk_wiz:3.6
|
||||
# END Select
|
||||
# BEGIN Parameters
|
||||
CSET calc_done=DONE
|
||||
CSET clk_in_sel_port=CLK_IN_SEL
|
||||
CSET clk_out1_port=CLK_OUT1
|
||||
CSET clk_out1_use_fine_ps_gui=false
|
||||
CSET clk_out2_port=CLK_OUT2
|
||||
CSET clk_out2_use_fine_ps_gui=false
|
||||
CSET clk_out3_port=CLK_OUT3
|
||||
CSET clk_out3_use_fine_ps_gui=false
|
||||
CSET clk_out4_port=CLK_OUT4
|
||||
CSET clk_out4_use_fine_ps_gui=false
|
||||
CSET clk_out5_port=CLK_OUT5
|
||||
CSET clk_out5_use_fine_ps_gui=false
|
||||
CSET clk_out6_port=CLK_OUT6
|
||||
CSET clk_out6_use_fine_ps_gui=false
|
||||
CSET clk_out7_port=CLK_OUT7
|
||||
CSET clk_out7_use_fine_ps_gui=false
|
||||
CSET clk_valid_port=CLK_VALID
|
||||
CSET clkfb_in_n_port=CLKFB_IN_N
|
||||
CSET clkfb_in_p_port=CLKFB_IN_P
|
||||
CSET clkfb_in_port=CLKFB_IN
|
||||
CSET clkfb_in_signaling=SINGLE
|
||||
CSET clkfb_out_n_port=CLKFB_OUT_N
|
||||
CSET clkfb_out_p_port=CLKFB_OUT_P
|
||||
CSET clkfb_out_port=CLKFB_OUT
|
||||
CSET clkfb_stopped_port=CLKFB_STOPPED
|
||||
CSET clkin1_jitter_ps=200.0
|
||||
CSET clkin1_ui_jitter=0.010
|
||||
CSET clkin2_jitter_ps=100.0
|
||||
CSET clkin2_ui_jitter=0.010
|
||||
CSET clkout1_drives=BUFG
|
||||
CSET clkout1_requested_duty_cycle=50.000
|
||||
CSET clkout1_requested_out_freq=75
|
||||
CSET clkout1_requested_phase=0.000
|
||||
CSET clkout2_drives=BUFG
|
||||
CSET clkout2_requested_duty_cycle=50.000
|
||||
CSET clkout2_requested_out_freq=150
|
||||
CSET clkout2_requested_phase=0.000
|
||||
CSET clkout2_used=true
|
||||
CSET clkout3_drives=BUFG
|
||||
CSET clkout3_requested_duty_cycle=50.000
|
||||
CSET clkout3_requested_out_freq=100.000
|
||||
CSET clkout3_requested_phase=0.000
|
||||
CSET clkout3_used=false
|
||||
CSET clkout4_drives=BUFG
|
||||
CSET clkout4_requested_duty_cycle=50.000
|
||||
CSET clkout4_requested_out_freq=100.000
|
||||
CSET clkout4_requested_phase=0.000
|
||||
CSET clkout4_used=false
|
||||
CSET clkout5_drives=BUFG
|
||||
CSET clkout5_requested_duty_cycle=50.000
|
||||
CSET clkout5_requested_out_freq=100.000
|
||||
CSET clkout5_requested_phase=0.000
|
||||
CSET clkout5_used=false
|
||||
CSET clkout6_drives=BUFG
|
||||
CSET clkout6_requested_duty_cycle=50.000
|
||||
CSET clkout6_requested_out_freq=100.000
|
||||
CSET clkout6_requested_phase=0.000
|
||||
CSET clkout6_used=false
|
||||
CSET clkout7_drives=BUFG
|
||||
CSET clkout7_requested_duty_cycle=50.000
|
||||
CSET clkout7_requested_out_freq=100.000
|
||||
CSET clkout7_requested_phase=0.000
|
||||
CSET clkout7_used=false
|
||||
CSET clock_mgr_type=AUTO
|
||||
CSET component_name=hdmi_clk
|
||||
CSET daddr_port=DADDR
|
||||
CSET dclk_port=DCLK
|
||||
CSET dcm_clk_feedback=NONE
|
||||
CSET dcm_clk_out1_port=CLKFX
|
||||
CSET dcm_clk_out2_port=CLK0
|
||||
CSET dcm_clk_out3_port=CLK0
|
||||
CSET dcm_clk_out4_port=CLK0
|
||||
CSET dcm_clk_out5_port=CLK0
|
||||
CSET dcm_clk_out6_port=CLK0
|
||||
CSET dcm_clkdv_divide=2.0
|
||||
CSET dcm_clkfx_divide=2
|
||||
CSET dcm_clkfx_multiply=3
|
||||
CSET dcm_clkgen_clk_out1_port=CLKFX
|
||||
CSET dcm_clkgen_clk_out2_port=CLKFX
|
||||
CSET dcm_clkgen_clk_out3_port=CLKFX
|
||||
CSET dcm_clkgen_clkfx_divide=1
|
||||
CSET dcm_clkgen_clkfx_md_max=0.000
|
||||
CSET dcm_clkgen_clkfx_multiply=4
|
||||
CSET dcm_clkgen_clkfxdv_divide=2
|
||||
CSET dcm_clkgen_clkin_period=10.000
|
||||
CSET dcm_clkgen_notes=None
|
||||
CSET dcm_clkgen_spread_spectrum=NONE
|
||||
CSET dcm_clkgen_startup_wait=false
|
||||
CSET dcm_clkin_divide_by_2=false
|
||||
CSET dcm_clkin_period=20.000
|
||||
CSET dcm_clkout_phase_shift=NONE
|
||||
CSET dcm_deskew_adjust=SYSTEM_SYNCHRONOUS
|
||||
CSET dcm_notes=None
|
||||
CSET dcm_phase_shift=0
|
||||
CSET dcm_pll_cascade=NONE
|
||||
CSET dcm_startup_wait=false
|
||||
CSET den_port=DEN
|
||||
CSET din_port=DIN
|
||||
CSET dout_port=DOUT
|
||||
CSET drdy_port=DRDY
|
||||
CSET dwe_port=DWE
|
||||
CSET feedback_source=FDBK_AUTO
|
||||
CSET in_freq_units=Units_MHz
|
||||
CSET in_jitter_units=Units_UI
|
||||
CSET input_clk_stopped_port=INPUT_CLK_STOPPED
|
||||
CSET jitter_options=UI
|
||||
CSET jitter_sel=No_Jitter
|
||||
CSET locked_port=LOCKED
|
||||
CSET mmcm_bandwidth=OPTIMIZED
|
||||
CSET mmcm_clkfbout_mult_f=4.000
|
||||
CSET mmcm_clkfbout_phase=0.000
|
||||
CSET mmcm_clkfbout_use_fine_ps=false
|
||||
CSET mmcm_clkin1_period=10.000
|
||||
CSET mmcm_clkin2_period=10.000
|
||||
CSET mmcm_clkout0_divide_f=4.000
|
||||
CSET mmcm_clkout0_duty_cycle=0.500
|
||||
CSET mmcm_clkout0_phase=0.000
|
||||
CSET mmcm_clkout0_use_fine_ps=false
|
||||
CSET mmcm_clkout1_divide=1
|
||||
CSET mmcm_clkout1_duty_cycle=0.500
|
||||
CSET mmcm_clkout1_phase=0.000
|
||||
CSET mmcm_clkout1_use_fine_ps=false
|
||||
CSET mmcm_clkout2_divide=1
|
||||
CSET mmcm_clkout2_duty_cycle=0.500
|
||||
CSET mmcm_clkout2_phase=0.000
|
||||
CSET mmcm_clkout2_use_fine_ps=false
|
||||
CSET mmcm_clkout3_divide=1
|
||||
CSET mmcm_clkout3_duty_cycle=0.500
|
||||
CSET mmcm_clkout3_phase=0.000
|
||||
CSET mmcm_clkout3_use_fine_ps=false
|
||||
CSET mmcm_clkout4_cascade=false
|
||||
CSET mmcm_clkout4_divide=1
|
||||
CSET mmcm_clkout4_duty_cycle=0.500
|
||||
CSET mmcm_clkout4_phase=0.000
|
||||
CSET mmcm_clkout4_use_fine_ps=false
|
||||
CSET mmcm_clkout5_divide=1
|
||||
CSET mmcm_clkout5_duty_cycle=0.500
|
||||
CSET mmcm_clkout5_phase=0.000
|
||||
CSET mmcm_clkout5_use_fine_ps=false
|
||||
CSET mmcm_clkout6_divide=1
|
||||
CSET mmcm_clkout6_duty_cycle=0.500
|
||||
CSET mmcm_clkout6_phase=0.000
|
||||
CSET mmcm_clkout6_use_fine_ps=false
|
||||
CSET mmcm_clock_hold=false
|
||||
CSET mmcm_compensation=ZHOLD
|
||||
CSET mmcm_divclk_divide=1
|
||||
CSET mmcm_notes=None
|
||||
CSET mmcm_ref_jitter1=0.010
|
||||
CSET mmcm_ref_jitter2=0.010
|
||||
CSET mmcm_startup_wait=false
|
||||
CSET num_out_clks=2
|
||||
CSET override_dcm=false
|
||||
CSET override_dcm_clkgen=false
|
||||
CSET override_mmcm=false
|
||||
CSET override_pll=false
|
||||
CSET platform=lin64
|
||||
CSET pll_bandwidth=OPTIMIZED
|
||||
CSET pll_clk_feedback=CLKFBOUT
|
||||
CSET pll_clkfbout_mult=9
|
||||
CSET pll_clkfbout_phase=0.000
|
||||
CSET pll_clkin_period=20.000
|
||||
CSET pll_clkout0_divide=6
|
||||
CSET pll_clkout0_duty_cycle=0.500
|
||||
CSET pll_clkout0_phase=0.000
|
||||
CSET pll_clkout1_divide=3
|
||||
CSET pll_clkout1_duty_cycle=0.500
|
||||
CSET pll_clkout1_phase=0.000
|
||||
CSET pll_clkout2_divide=1
|
||||
CSET pll_clkout2_duty_cycle=0.500
|
||||
CSET pll_clkout2_phase=0.000
|
||||
CSET pll_clkout3_divide=1
|
||||
CSET pll_clkout3_duty_cycle=0.500
|
||||
CSET pll_clkout3_phase=0.000
|
||||
CSET pll_clkout4_divide=1
|
||||
CSET pll_clkout4_duty_cycle=0.500
|
||||
CSET pll_clkout4_phase=0.000
|
||||
CSET pll_clkout5_divide=1
|
||||
CSET pll_clkout5_duty_cycle=0.500
|
||||
CSET pll_clkout5_phase=0.000
|
||||
CSET pll_compensation=INTERNAL
|
||||
CSET pll_divclk_divide=1
|
||||
CSET pll_notes=None
|
||||
CSET pll_ref_jitter=0.010
|
||||
CSET power_down_port=POWER_DOWN
|
||||
CSET prim_in_freq=50
|
||||
CSET prim_in_jitter=0.010
|
||||
CSET prim_source=Single_ended_clock_capable_pin
|
||||
CSET primary_port=CLK_IN1
|
||||
CSET primitive=MMCM
|
||||
CSET primtype_sel=PLL_BASE
|
||||
CSET psclk_port=PSCLK
|
||||
CSET psdone_port=PSDONE
|
||||
CSET psen_port=PSEN
|
||||
CSET psincdec_port=PSINCDEC
|
||||
CSET relative_inclk=REL_PRIMARY
|
||||
CSET reset_port=RESET
|
||||
CSET secondary_in_freq=100.000
|
||||
CSET secondary_in_jitter=0.010
|
||||
CSET secondary_port=CLK_IN2
|
||||
CSET secondary_source=Single_ended_clock_capable_pin
|
||||
CSET ss_mod_freq=250
|
||||
CSET ss_mode=CENTER_HIGH
|
||||
CSET status_port=STATUS
|
||||
CSET summary_strings=empty
|
||||
CSET use_clk_valid=false
|
||||
CSET use_clkfb_stopped=false
|
||||
CSET use_dyn_phase_shift=false
|
||||
CSET use_dyn_reconfig=false
|
||||
CSET use_freeze=false
|
||||
CSET use_freq_synth=true
|
||||
CSET use_inclk_stopped=false
|
||||
CSET use_inclk_switchover=false
|
||||
CSET use_locked=false
|
||||
CSET use_max_i_jitter=false
|
||||
CSET use_min_o_jitter=false
|
||||
CSET use_min_power=false
|
||||
CSET use_phase_alignment=false
|
||||
CSET use_power_down=false
|
||||
CSET use_reset=false
|
||||
CSET use_spread_spectrum=false
|
||||
CSET use_spread_spectrum_1=false
|
||||
CSET use_status=false
|
||||
# END Parameters
|
||||
# BEGIN Extra information
|
||||
MISC pkg_timestamp=2012-05-10T12:44:55Z
|
||||
# END Extra information
|
||||
GENERATE
|
||||
# CRC: 9368653d
|
66
ipcore_dir/hdmi_clk.xdc
Executable file
66
ipcore_dir/hdmi_clk.xdc
Executable file
|
@ -0,0 +1,66 @@
|
|||
# file: hdmi_clk.xdc
|
||||
#
|
||||
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
#
|
||||
# This file contains confidential and proprietary information
|
||||
# of Xilinx, Inc. and is protected under U.S. and
|
||||
# international copyright and other intellectual property
|
||||
# laws.
|
||||
#
|
||||
# DISCLAIMER
|
||||
# This disclaimer is not a license and does not grant any
|
||||
# rights to the materials distributed herewith. Except as
|
||||
# otherwise provided in a valid license issued to you by
|
||||
# Xilinx, and to the maximum extent permitted by applicable
|
||||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
# (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
# including negligence, or under any other theory of
|
||||
# liability) for any loss or damage of any kind or nature
|
||||
# related to, arising under or in connection with these
|
||||
# materials, including for any direct, or any indirect,
|
||||
# special, incidental, or consequential loss or damage
|
||||
# (including loss of data, profits, goodwill, or any type of
|
||||
# loss or damage suffered as a result of any action brought
|
||||
# by a third party) even if such damage or loss was
|
||||
# reasonably foreseeable or Xilinx had been advised of the
|
||||
# possibility of the same.
|
||||
#
|
||||
# CRITICAL APPLICATIONS
|
||||
# Xilinx products are not designed or intended to be fail-
|
||||
# safe, or for use in any application requiring fail-safe
|
||||
# performance, such as life-support or safety devices or
|
||||
# systems, Class III medical devices, nuclear facilities,
|
||||
# applications related to the deployment of airbags, or any
|
||||
# other applications that could lead to death, personal
|
||||
# injury, or severe property or environmental damage
|
||||
# (individually and collectively, "Critical
|
||||
# Applications"). Customer assumes the sole risk and
|
||||
# liability of any use of Xilinx products in Critical
|
||||
# Applications, subject only to applicable laws and
|
||||
# regulations governing limitations on product liability.
|
||||
#
|
||||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
# PART OF THIS FILE AT ALL TIMES.
|
||||
#
|
||||
|
||||
# Input clock periods. These duplicate the values entered for the
|
||||
# input clocks. You can use these to time your system
|
||||
#----------------------------------------------------------------
|
||||
create_clock -name CLK_IN1 -period 20.000 [get_ports CLK_IN1]
|
||||
set_propagated_clock CLK_IN1
|
||||
set_input_jitter CLK_IN1 0.2
|
||||
|
||||
|
||||
# Derived clock periods. These are commented out because they are
|
||||
# automatically propogated by the tools
|
||||
# However, if you'd like to use them for module level testing, you
|
||||
# can copy them into your module level timing checks
|
||||
#-----------------------------------------------------------------
|
||||
|
||||
#-----------------------------------------------------------------
|
||||
|
||||
#-----------------------------------------------------------------
|
74
ipcore_dir/hdmi_clk.xise
Normal file
74
ipcore_dir/hdmi_clk.xise
Normal file
|
@ -0,0 +1,74 @@
|
|||
<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
|
||||
<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
|
||||
|
||||
<header>
|
||||
<!-- ISE source project file created by Project Navigator. -->
|
||||
<!-- -->
|
||||
<!-- This file contains project source information including a list of -->
|
||||
<!-- project source files, project and process properties. This file, -->
|
||||
<!-- along with the project source files, is sufficient to open and -->
|
||||
<!-- implement in ISE Project Navigator. -->
|
||||
<!-- -->
|
||||
<!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
|
||||
</header>
|
||||
|
||||
<version xil_pn:ise_version="14.7" xil_pn:schema_version="2"/>
|
||||
|
||||
<files>
|
||||
<file xil_pn:name="hdmi_clk.ucf" xil_pn:type="FILE_UCF">
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
|
||||
</file>
|
||||
<file xil_pn:name="hdmi_clk.v" xil_pn:type="FILE_VERILOG">
|
||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="1"/>
|
||||
<association xil_pn:name="PostMapSimulation" xil_pn:seqID="3"/>
|
||||
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="3"/>
|
||||
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="3"/>
|
||||
</file>
|
||||
</files>
|
||||
|
||||
<properties>
|
||||
<property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Device" xil_pn:value="xc6slx9" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="true" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Implementation Top" xil_pn:value="Module|hdmi_clk" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Implementation Top File" xil_pn:value="hdmi_clk.v" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/hdmi_clk" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Package" xil_pn:value="tqg144" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Speed Grade" xil_pn:value="-2" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/>
|
||||
<!-- -->
|
||||
<!-- The following properties are for internal use only. These should not be modified.-->
|
||||
<!-- -->
|
||||
<property xil_pn:name="PROP_DesignName" xil_pn:value="hdmi_clk" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2020-09-17T17:18:57" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="9C4B53858E4C5064C7E51761156BB29A" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/>
|
||||
</properties>
|
||||
|
||||
<bindings>
|
||||
<binding xil_pn:location="/hdmi_clk" xil_pn:name="hdmi_clk.ucf"/>
|
||||
</bindings>
|
||||
|
||||
<libraries/>
|
||||
|
||||
<autoManagedFiles>
|
||||
<!-- The following files are identified by `include statements in verilog -->
|
||||
<!-- source files and are automatically managed by Project Navigator. -->
|
||||
<!-- -->
|
||||
<!-- Do not hand-edit this section, as it will be overwritten when the -->
|
||||
<!-- project is analyzed based on files automatically identified as -->
|
||||
<!-- include files. -->
|
||||
</autoManagedFiles>
|
||||
|
||||
</project>
|
184
ipcore_dir/hdmi_clk/clk_wiz_v3_6_readme.txt
Normal file
184
ipcore_dir/hdmi_clk/clk_wiz_v3_6_readme.txt
Normal file
|
@ -0,0 +1,184 @@
|
|||
CHANGE LOG for LogiCORE Clocking Wizard V3.6
|
||||
|
||||
Release Date: June 19, 2013
|
||||
--------------------------------------------------------------------------------
|
||||
|
||||
Table of Contents
|
||||
|
||||
1. INTRODUCTION
|
||||
2. DEVICE SUPPORT
|
||||
3. NEW FEATURE HISTORY
|
||||
4. RESOLVED ISSUES
|
||||
5. KNOWN ISSUES & LIMITATIONS
|
||||
6. TECHNICAL SUPPORT & FEEDBACK
|
||||
7. CORE RELEASE HISTORY
|
||||
8. LEGAL DISCLAIMER
|
||||
|
||||
--------------------------------------------------------------------------------
|
||||
|
||||
|
||||
1. INTRODUCTION
|
||||
|
||||
For installation instructions for this release, please go to:
|
||||
|
||||
http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm
|
||||
|
||||
For system requirements:
|
||||
|
||||
http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm
|
||||
|
||||
This file contains release notes for the Xilinx LogiCORE IP Clocking Wizard v3.6
|
||||
solution. For the latest core updates, see the product page at:
|
||||
|
||||
http://www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/
|
||||
|
||||
................................................................................
|
||||
|
||||
2. DEVICE SUPPORT
|
||||
|
||||
|
||||
2.1 ISE
|
||||
|
||||
|
||||
The following device families are supported by the core for this release.
|
||||
|
||||
All 7 Series devices
|
||||
|
||||
|
||||
Zynq-7000 devices
|
||||
Zynq-7000
|
||||
Defense Grade Zynq-7000Q (XQ)
|
||||
|
||||
|
||||
All Virtex-6 devices
|
||||
|
||||
|
||||
All Spartan-6 devices
|
||||
|
||||
|
||||
................................................................................
|
||||
|
||||
3. NEW FEATURE HISTORY
|
||||
|
||||
|
||||
3.1 ISE
|
||||
|
||||
- Spread Spectrum support for 7 series MMCME2
|
||||
|
||||
- ISE 14.2 software support
|
||||
|
||||
................................................................................
|
||||
|
||||
4. RESOLVED ISSUES
|
||||
|
||||
|
||||
4.1 ISE
|
||||
|
||||
Resolved issue with example design becoming core top in planAhead
|
||||
|
||||
Resolved issue with Virtex6 MMCM instantiation for VHDL project
|
||||
Please refer to AR 50719 - http://www.xilinx.com/support/answers/50719.htm
|
||||
|
||||
................................................................................
|
||||
|
||||
5. KNOWN ISSUES & LIMITATIONS
|
||||
|
||||
|
||||
5.1 ISE
|
||||
|
||||
|
||||
The most recent information, including known issues, workarounds, and
|
||||
resolutions for this version is provided in the IP Release Notes Guide
|
||||
located at
|
||||
|
||||
www.xilinx.com/support/documentation/user_guides/xtp025.pdf
|
||||
|
||||
|
||||
................................................................................
|
||||
|
||||
6. TECHNICAL SUPPORT & FEEDBACK
|
||||
|
||||
|
||||
To obtain technical support, create a WebCase at www.xilinx.com/support.
|
||||
Questions are routed to a team with expertise using this product.
|
||||
|
||||
Xilinx provides technical support for use of this product when used
|
||||
according to the guidelines described in the core documentation, and
|
||||
cannot guarantee timing, functionality, or support of this product for
|
||||
designs that do not follow specified guidelines.
|
||||
|
||||
|
||||
................................................................................
|
||||
|
||||
7. CORE RELEASE HISTORY
|
||||
|
||||
|
||||
Date By Version Description
|
||||
================================================================================
|
||||
06/19/2013 Xilinx, Inc. 3.6(Rev3) ISE 14.6 support
|
||||
10/16/2012 Xilinx, Inc. 3.6(Rev2) ISE 14.3 support
|
||||
07/25/2012 Xilinx, Inc. 3.6 ISE 14.2 support
|
||||
04/24/2012 Xilinx, Inc. 3.5 ISE 14.1 support
|
||||
01/18/2012 Xilinx, Inc. 3.3 ISE 13.4 support
|
||||
06/22/2011 Xilinx, Inc. 3.2 ISE 13.2 support
|
||||
03/01/2011 Xilinx, Inc. 3.1 ISE 13.1 support
|
||||
12/14/2010 Xilinx, Inc. 1.8 ISE 12.4 support
|
||||
09/21/2010 Xilinx, Inc. 1.7 ISE 12.3 support
|
||||
07/23/2010 Xilinx, Inc. 1.6 ISE 12.2 support
|
||||
04/19/2010 Xilinx, Inc. 1.5 ISE 12.1 support
|
||||
12/02/2009 Xilinx, Inc. 1.4 ISE 11.4 support
|
||||
09/16/2009 Xilinx, Inc. 1.3 ISE 11.3 support
|
||||
06/24/2009 Xilinx, Inc. 1.2 ISE 11.2 support
|
||||
04/24/2009 Xilinx, Inc. 1.1 Initial release; 11.1 support
|
||||
================================================================================
|
||||
|
||||
................................................................................
|
||||
|
||||
8. LEGAL DISCLAIMER
|
||||
|
||||
(c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
|
||||
|
||||
This file contains confidential and proprietary information
|
||||
of Xilinx, Inc. and is protected under U.S. and
|
||||
international copyright and other intellectual property
|
||||
laws.
|
||||
|
||||
DISCLAIMER
|
||||
This disclaimer is not a license and does not grant any
|
||||
rights to the materials distributed herewith. Except as
|
||||
otherwise provided in a valid license issued to you by
|
||||
Xilinx, and to the maximum extent permitted by applicable
|
||||
law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
(2) Xilinx shall not be liable (whether in contract or tort,
|
||||
including negligence, or under any other theory of
|
||||
liability) for any loss or damage of any kind or nature
|
||||
related to, arising under or in connection with these
|
||||
materials, including for any direct, or any indirect,
|
||||
special, incidental, or consequential loss or damage
|
||||
(including loss of data, profits, goodwill, or any type of
|
||||
loss or damage suffered as a result of any action brought
|
||||
by a third party) even if such damage or loss was
|
||||
reasonably foreseeable or Xilinx had been advised of the
|
||||
possibility of the same.
|
||||
|
||||
CRITICAL APPLICATIONS
|
||||
Xilinx products are not designed or intended to be fail-
|
||||
safe, or for use in any application requiring fail-safe
|
||||
performance, such as life-support or safety devices or
|
||||
systems, Class III medical devices, nuclear facilities,
|
||||
applications related to the deployment of airbags, or any
|
||||
other applications that could lead to death, personal
|
||||
injury, or severe property or environmental damage
|
||||
(individually and collectively, "Critical
|
||||
Applications"). Customer assumes the sole risk and
|
||||
liability of any use of Xilinx products in Critical
|
||||
Applications, subject only to applicable laws and
|
||||
regulations governing limitations on product liability.
|
||||
|
||||
THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
PART OF THIS FILE AT ALL TIMES.
|
||||
|
184
ipcore_dir/hdmi_clk/doc/clk_wiz_v3_6_readme.txt
Normal file
184
ipcore_dir/hdmi_clk/doc/clk_wiz_v3_6_readme.txt
Normal file
|
@ -0,0 +1,184 @@
|
|||
CHANGE LOG for LogiCORE Clocking Wizard V3.6
|
||||
|
||||
Release Date: June 19, 2013
|
||||
--------------------------------------------------------------------------------
|
||||
|
||||
Table of Contents
|
||||
|
||||
1. INTRODUCTION
|
||||
2. DEVICE SUPPORT
|
||||
3. NEW FEATURE HISTORY
|
||||
4. RESOLVED ISSUES
|
||||
5. KNOWN ISSUES & LIMITATIONS
|
||||
6. TECHNICAL SUPPORT & FEEDBACK
|
||||
7. CORE RELEASE HISTORY
|
||||
8. LEGAL DISCLAIMER
|
||||
|
||||
--------------------------------------------------------------------------------
|
||||
|
||||
|
||||
1. INTRODUCTION
|
||||
|
||||
For installation instructions for this release, please go to:
|
||||
|
||||
http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm
|
||||
|
||||
For system requirements:
|
||||
|
||||
http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm
|
||||
|
||||
This file contains release notes for the Xilinx LogiCORE IP Clocking Wizard v3.6
|
||||
solution. For the latest core updates, see the product page at:
|
||||
|
||||
http://www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/
|
||||
|
||||
................................................................................
|
||||
|
||||
2. DEVICE SUPPORT
|
||||
|
||||
|
||||
2.1 ISE
|
||||
|
||||
|
||||
The following device families are supported by the core for this release.
|
||||
|
||||
All 7 Series devices
|
||||
|
||||
|
||||
Zynq-7000 devices
|
||||
Zynq-7000
|
||||
Defense Grade Zynq-7000Q (XQ)
|
||||
|
||||
|
||||
All Virtex-6 devices
|
||||
|
||||
|
||||
All Spartan-6 devices
|
||||
|
||||
|
||||
................................................................................
|
||||
|
||||
3. NEW FEATURE HISTORY
|
||||
|
||||
|
||||
3.1 ISE
|
||||
|
||||
- Spread Spectrum support for 7 series MMCME2
|
||||
|
||||
- ISE 14.2 software support
|
||||
|
||||
................................................................................
|
||||
|
||||
4. RESOLVED ISSUES
|
||||
|
||||
|
||||
4.1 ISE
|
||||
|
||||
Resolved issue with example design becoming core top in planAhead
|
||||
|
||||
Resolved issue with Virtex6 MMCM instantiation for VHDL project
|
||||
Please refer to AR 50719 - http://www.xilinx.com/support/answers/50719.htm
|
||||
|
||||
................................................................................
|
||||
|
||||
5. KNOWN ISSUES & LIMITATIONS
|
||||
|
||||
|
||||
5.1 ISE
|
||||
|
||||
|
||||
The most recent information, including known issues, workarounds, and
|
||||
resolutions for this version is provided in the IP Release Notes Guide
|
||||
located at
|
||||
|
||||
www.xilinx.com/support/documentation/user_guides/xtp025.pdf
|
||||
|
||||
|
||||
................................................................................
|
||||
|
||||
6. TECHNICAL SUPPORT & FEEDBACK
|
||||
|
||||
|
||||
To obtain technical support, create a WebCase at www.xilinx.com/support.
|
||||
Questions are routed to a team with expertise using this product.
|
||||
|
||||
Xilinx provides technical support for use of this product when used
|
||||
according to the guidelines described in the core documentation, and
|
||||
cannot guarantee timing, functionality, or support of this product for
|
||||
designs that do not follow specified guidelines.
|
||||
|
||||
|
||||
................................................................................
|
||||
|
||||
7. CORE RELEASE HISTORY
|
||||
|
||||
|
||||
Date By Version Description
|
||||
================================================================================
|
||||
06/19/2013 Xilinx, Inc. 3.6(Rev3) ISE 14.6 support
|
||||
10/16/2012 Xilinx, Inc. 3.6(Rev2) ISE 14.3 support
|
||||
07/25/2012 Xilinx, Inc. 3.6 ISE 14.2 support
|
||||
04/24/2012 Xilinx, Inc. 3.5 ISE 14.1 support
|
||||
01/18/2012 Xilinx, Inc. 3.3 ISE 13.4 support
|
||||
06/22/2011 Xilinx, Inc. 3.2 ISE 13.2 support
|
||||
03/01/2011 Xilinx, Inc. 3.1 ISE 13.1 support
|
||||
12/14/2010 Xilinx, Inc. 1.8 ISE 12.4 support
|
||||
09/21/2010 Xilinx, Inc. 1.7 ISE 12.3 support
|
||||
07/23/2010 Xilinx, Inc. 1.6 ISE 12.2 support
|
||||
04/19/2010 Xilinx, Inc. 1.5 ISE 12.1 support
|
||||
12/02/2009 Xilinx, Inc. 1.4 ISE 11.4 support
|
||||
09/16/2009 Xilinx, Inc. 1.3 ISE 11.3 support
|
||||
06/24/2009 Xilinx, Inc. 1.2 ISE 11.2 support
|
||||
04/24/2009 Xilinx, Inc. 1.1 Initial release; 11.1 support
|
||||
================================================================================
|
||||
|
||||
................................................................................
|
||||
|
||||
8. LEGAL DISCLAIMER
|
||||
|
||||
(c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
|
||||
|
||||
This file contains confidential and proprietary information
|
||||
of Xilinx, Inc. and is protected under U.S. and
|
||||
international copyright and other intellectual property
|
||||
laws.
|
||||
|
||||
DISCLAIMER
|
||||
This disclaimer is not a license and does not grant any
|
||||
rights to the materials distributed herewith. Except as
|
||||
otherwise provided in a valid license issued to you by
|
||||
Xilinx, and to the maximum extent permitted by applicable
|
||||
law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
(2) Xilinx shall not be liable (whether in contract or tort,
|
||||
including negligence, or under any other theory of
|
||||
liability) for any loss or damage of any kind or nature
|
||||
related to, arising under or in connection with these
|
||||
materials, including for any direct, or any indirect,
|
||||
special, incidental, or consequential loss or damage
|
||||
(including loss of data, profits, goodwill, or any type of
|
||||
loss or damage suffered as a result of any action brought
|
||||
by a third party) even if such damage or loss was
|
||||
reasonably foreseeable or Xilinx had been advised of the
|
||||
possibility of the same.
|
||||
|
||||
CRITICAL APPLICATIONS
|
||||
Xilinx products are not designed or intended to be fail-
|
||||
safe, or for use in any application requiring fail-safe
|
||||
performance, such as life-support or safety devices or
|
||||
systems, Class III medical devices, nuclear facilities,
|
||||
applications related to the deployment of airbags, or any
|
||||
other applications that could lead to death, personal
|
||||
injury, or severe property or environmental damage
|
||||
(individually and collectively, "Critical
|
||||
Applications"). Customer assumes the sole risk and
|
||||
liability of any use of Xilinx products in Critical
|
||||
Applications, subject only to applicable laws and
|
||||
regulations governing limitations on product liability.
|
||||
|
||||
THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
PART OF THIS FILE AT ALL TIMES.
|
||||
|
195
ipcore_dir/hdmi_clk/doc/clk_wiz_v3_6_vinfo.html
Normal file
195
ipcore_dir/hdmi_clk/doc/clk_wiz_v3_6_vinfo.html
Normal file
|
@ -0,0 +1,195 @@
|
|||
<HTML>
|
||||
<HEAD>
|
||||
<TITLE>clk_wiz_v3_6_vinfo</TITLE>
|
||||
<META HTTP-EQUIV="Content-Type" CONTENT="text/plain;CHARSET=iso-8859-1">
|
||||
</HEAD>
|
||||
<BODY>
|
||||
<PRE><FONT face="Arial, Helvetica, sans-serif" size="-1">
|
||||
CHANGE LOG for LogiCORE Clocking Wizard V3.6
|
||||
|
||||
Release Date: June 19, 2013
|
||||
--------------------------------------------------------------------------------
|
||||
|
||||
Table of Contents
|
||||
|
||||
1. INTRODUCTION
|
||||
2. DEVICE SUPPORT
|
||||
3. NEW FEATURE HISTORY
|
||||
4. RESOLVED ISSUES
|
||||
5. KNOWN ISSUES & LIMITATIONS
|
||||
6. TECHNICAL SUPPORT & FEEDBACK
|
||||
7. CORE RELEASE HISTORY
|
||||
8. LEGAL DISCLAIMER
|
||||
|
||||
--------------------------------------------------------------------------------
|
||||
|
||||
|
||||
1. INTRODUCTION
|
||||
|
||||
For installation instructions for this release, please go to:
|
||||
|
||||
<A HREF="http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm">www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm</A>
|
||||
|
||||
For system requirements:
|
||||
|
||||
<A HREF="http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm">www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm</A>
|
||||
|
||||
This file contains release notes for the Xilinx LogiCORE IP Clocking Wizard v3.6
|
||||
solution. For the latest core updates, see the product page at:
|
||||
|
||||
<A HREF="http://www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/">www.xilinx.com/products/design_resources/conn_central/solution_kits/wizards/</A>
|
||||
|
||||
................................................................................
|
||||
|
||||
2. DEVICE SUPPORT
|
||||
|
||||
|
||||
2.1 ISE
|
||||
|
||||
|
||||
The following device families are supported by the core for this release.
|
||||
|
||||
All 7 Series devices
|
||||
|
||||
|
||||
Zynq-7000 devices
|
||||
Zynq-7000
|
||||
Defense Grade Zynq-7000Q (XQ)
|
||||
|
||||
|
||||
All Virtex-6 devices
|
||||
|
||||
|
||||
All Spartan-6 devices
|
||||
|
||||
|
||||
................................................................................
|
||||
|
||||
3. NEW FEATURE HISTORY
|
||||
|
||||
|
||||
3.1 ISE
|
||||
|
||||
- Spread Spectrum support for 7 series MMCME2
|
||||
|
||||
- ISE 14.2 software support
|
||||
|
||||
................................................................................
|
||||
|
||||
4. RESOLVED ISSUES
|
||||
|
||||
|
||||
4.1 ISE
|
||||
|
||||
Resolved issue with example design becoming core top in planAhead
|
||||
|
||||
Resolved issue with Virtex6 MMCM instantiation for VHDL project
|
||||
Please refer to AR 50719 - <A HREF="http://www.xilinx.com/support/answers/50719.htm">www.xilinx.com/support/answers/50719.htm</A>
|
||||
|
||||
................................................................................
|
||||
|
||||
5. KNOWN ISSUES & LIMITATIONS
|
||||
|
||||
|
||||
5.1 ISE
|
||||
|
||||
|
||||
The most recent information, including known issues, workarounds, and
|
||||
resolutions for this version is provided in the IP Release Notes Guide
|
||||
located at
|
||||
|
||||
<A HREF="http://www.xilinx.com/support/documentation/user_guides/xtp025.pdf">www.xilinx.com/support/documentation/user_guides/xtp025.pdf</A>
|
||||
|
||||
|
||||
................................................................................
|
||||
|
||||
6. TECHNICAL SUPPORT & FEEDBACK
|
||||
|
||||
|
||||
To obtain technical support, create a WebCase at <A HREF="http://www.xilinx.com/support.">www.xilinx.com/support.</A>
|
||||
Questions are routed to a team with expertise using this product.
|
||||
|
||||
Xilinx provides technical support for use of this product when used
|
||||
according to the guidelines described in the core documentation, and
|
||||
cannot guarantee timing, functionality, or support of this product for
|
||||
designs that do not follow specified guidelines.
|
||||
|
||||
|
||||
................................................................................
|
||||
|
||||
7. CORE RELEASE HISTORY
|
||||
|
||||
|
||||
Date By Version Description
|
||||
================================================================================
|
||||
06/19/2013 Xilinx, Inc. 3.6(Rev3) ISE 14.6 support
|
||||
10/16/2012 Xilinx, Inc. 3.6(Rev2) ISE 14.3 support
|
||||
07/25/2012 Xilinx, Inc. 3.6 ISE 14.2 support
|
||||
04/24/2012 Xilinx, Inc. 3.5 ISE 14.1 support
|
||||
01/18/2012 Xilinx, Inc. 3.3 ISE 13.4 support
|
||||
06/22/2011 Xilinx, Inc. 3.2 ISE 13.2 support
|
||||
03/01/2011 Xilinx, Inc. 3.1 ISE 13.1 support
|
||||
12/14/2010 Xilinx, Inc. 1.8 ISE 12.4 support
|
||||
09/21/2010 Xilinx, Inc. 1.7 ISE 12.3 support
|
||||
07/23/2010 Xilinx, Inc. 1.6 ISE 12.2 support
|
||||
04/19/2010 Xilinx, Inc. 1.5 ISE 12.1 support
|
||||
12/02/2009 Xilinx, Inc. 1.4 ISE 11.4 support
|
||||
09/16/2009 Xilinx, Inc. 1.3 ISE 11.3 support
|
||||
06/24/2009 Xilinx, Inc. 1.2 ISE 11.2 support
|
||||
04/24/2009 Xilinx, Inc. 1.1 Initial release; 11.1 support
|
||||
================================================================================
|
||||
|
||||
................................................................................
|
||||
|
||||
8. LEGAL DISCLAIMER
|
||||
|
||||
(c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
|
||||
|
||||
This file contains confidential and proprietary information
|
||||
of Xilinx, Inc. and is protected under U.S. and
|
||||
international copyright and other intellectual property
|
||||
laws.
|
||||
|
||||
DISCLAIMER
|
||||
This disclaimer is not a license and does not grant any
|
||||
rights to the materials distributed herewith. Except as
|
||||
otherwise provided in a valid license issued to you by
|
||||
Xilinx, and to the maximum extent permitted by applicable
|
||||
law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
(2) Xilinx shall not be liable (whether in contract or tort,
|
||||
including negligence, or under any other theory of
|
||||
liability) for any loss or damage of any kind or nature
|
||||
related to, arising under or in connection with these
|
||||
materials, including for any direct, or any indirect,
|
||||
special, incidental, or consequential loss or damage
|
||||
(including loss of data, profits, goodwill, or any type of
|
||||
loss or damage suffered as a result of any action brought
|
||||
by a third party) even if such damage or loss was
|
||||
reasonably foreseeable or Xilinx had been advised of the
|
||||
possibility of the same.
|
||||
|
||||
CRITICAL APPLICATIONS
|
||||
Xilinx products are not designed or intended to be fail-
|
||||
safe, or for use in any application requiring fail-safe
|
||||
performance, such as life-support or safety devices or
|
||||
systems, Class III medical devices, nuclear facilities,
|
||||
applications related to the deployment of airbags, or any
|
||||
other applications that could lead to death, personal
|
||||
injury, or severe property or environmental damage
|
||||
(individually and collectively, "Critical
|
||||
Applications"). Customer assumes the sole risk and
|
||||
liability of any use of Xilinx products in Critical
|
||||
Applications, subject only to applicable laws and
|
||||
regulations governing limitations on product liability.
|
||||
|
||||
THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
PART OF THIS FILE AT ALL TIMES.
|
||||
|
||||
</FONT>
|
||||
</PRE>
|
||||
</BODY>
|
||||
</HTML>
|
BIN
ipcore_dir/hdmi_clk/doc/pg065_clk_wiz.pdf
Normal file
BIN
ipcore_dir/hdmi_clk/doc/pg065_clk_wiz.pdf
Normal file
Binary file not shown.
59
ipcore_dir/hdmi_clk/example_design/hdmi_clk_exdes.ucf
Executable file
59
ipcore_dir/hdmi_clk/example_design/hdmi_clk_exdes.ucf
Executable file
|
@ -0,0 +1,59 @@
|
|||
# file: hdmi_clk_exdes.ucf
|
||||
#
|
||||
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
#
|
||||
# This file contains confidential and proprietary information
|
||||
# of Xilinx, Inc. and is protected under U.S. and
|
||||
# international copyright and other intellectual property
|
||||
# laws.
|
||||
#
|
||||
# DISCLAIMER
|
||||
# This disclaimer is not a license and does not grant any
|
||||
# rights to the materials distributed herewith. Except as
|
||||
# otherwise provided in a valid license issued to you by
|
||||
# Xilinx, and to the maximum extent permitted by applicable
|
||||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
# (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
# including negligence, or under any other theory of
|
||||
# liability) for any loss or damage of any kind or nature
|
||||
# related to, arising under or in connection with these
|
||||
# materials, including for any direct, or any indirect,
|
||||
# special, incidental, or consequential loss or damage
|
||||
# (including loss of data, profits, goodwill, or any type of
|
||||
# loss or damage suffered as a result of any action brought
|
||||
# by a third party) even if such damage or loss was
|
||||
# reasonably foreseeable or Xilinx had been advised of the
|
||||
# possibility of the same.
|
||||
#
|
||||
# CRITICAL APPLICATIONS
|
||||
# Xilinx products are not designed or intended to be fail-
|
||||
# safe, or for use in any application requiring fail-safe
|
||||
# performance, such as life-support or safety devices or
|
||||
# systems, Class III medical devices, nuclear facilities,
|
||||
# applications related to the deployment of airbags, or any
|
||||
# other applications that could lead to death, personal
|
||||
# injury, or severe property or environmental damage
|
||||
# (individually and collectively, "Critical
|
||||
# Applications"). Customer assumes the sole risk and
|
||||
# liability of any use of Xilinx products in Critical
|
||||
# Applications, subject only to applicable laws and
|
||||
# regulations governing limitations on product liability.
|
||||
#
|
||||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
# PART OF THIS FILE AT ALL TIMES.
|
||||
#
|
||||
|
||||
# Input clock periods. These duplicate the values entered for the
|
||||
# input clocks. You can use these to time your system
|
||||
#----------------------------------------------------------------
|
||||
NET "CLK_IN1" TNM_NET = "CLK_IN1";
|
||||
TIMESPEC "TS_CLK_IN1" = PERIOD "CLK_IN1" 20.000 ns HIGH 50% INPUT_JITTER 200.0ps;
|
||||
|
||||
|
||||
# FALSE PATH constraints
|
||||
PIN "COUNTER_RESET" TIG;
|
||||
|
169
ipcore_dir/hdmi_clk/example_design/hdmi_clk_exdes.v
Executable file
169
ipcore_dir/hdmi_clk/example_design/hdmi_clk_exdes.v
Executable file
|
@ -0,0 +1,169 @@
|
|||
// file: hdmi_clk_exdes.v
|
||||
//
|
||||
// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
//
|
||||
// This file contains confidential and proprietary information
|
||||
// of Xilinx, Inc. and is protected under U.S. and
|
||||
// international copyright and other intellectual property
|
||||
// laws.
|
||||
//
|
||||
// DISCLAIMER
|
||||
// This disclaimer is not a license and does not grant any
|
||||
// rights to the materials distributed herewith. Except as
|
||||
// otherwise provided in a valid license issued to you by
|
||||
// Xilinx, and to the maximum extent permitted by applicable
|
||||
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
// (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
// including negligence, or under any other theory of
|
||||
// liability) for any loss or damage of any kind or nature
|
||||
// related to, arising under or in connection with these
|
||||
// materials, including for any direct, or any indirect,
|
||||
// special, incidental, or consequential loss or damage
|
||||
// (including loss of data, profits, goodwill, or any type of
|
||||
// loss or damage suffered as a result of any action brought
|
||||
// by a third party) even if such damage or loss was
|
||||
// reasonably foreseeable or Xilinx had been advised of the
|
||||
// possibility of the same.
|
||||
//
|
||||
// CRITICAL APPLICATIONS
|
||||
// Xilinx products are not designed or intended to be fail-
|
||||
// safe, or for use in any application requiring fail-safe
|
||||
// performance, such as life-support or safety devices or
|
||||
// systems, Class III medical devices, nuclear facilities,
|
||||
// applications related to the deployment of airbags, or any
|
||||
// other applications that could lead to death, personal
|
||||
// injury, or severe property or environmental damage
|
||||
// (individually and collectively, "Critical
|
||||
// Applications"). Customer assumes the sole risk and
|
||||
// liability of any use of Xilinx products in Critical
|
||||
// Applications, subject only to applicable laws and
|
||||
// regulations governing limitations on product liability.
|
||||
//
|
||||
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
// PART OF THIS FILE AT ALL TIMES.
|
||||
//
|
||||
|
||||
//----------------------------------------------------------------------------
|
||||
// Clocking wizard example design
|
||||
//----------------------------------------------------------------------------
|
||||
// This example design instantiates the created clocking network, where each
|
||||
// output clock drives a counter. The high bit of each counter is ported.
|
||||
//----------------------------------------------------------------------------
|
||||
|
||||
`timescale 1ps/1ps
|
||||
|
||||
module hdmi_clk_exdes
|
||||
#(
|
||||
parameter TCQ = 100
|
||||
)
|
||||
(// Clock in ports
|
||||
input CLK_IN1,
|
||||
// Reset that only drives logic in example design
|
||||
input COUNTER_RESET,
|
||||
output [2:1] CLK_OUT,
|
||||
// High bits of counters driven by clocks
|
||||
output [2:1] COUNT
|
||||
);
|
||||
|
||||
// Parameters for the counters
|
||||
//-------------------------------
|
||||
// Counter width
|
||||
localparam C_W = 16;
|
||||
localparam NUM_C = 2;
|
||||
genvar count_gen;
|
||||
// Create reset for the counters
|
||||
wire reset_int = COUNTER_RESET;
|
||||
|
||||
reg [NUM_C:1] rst_sync;
|
||||
reg [NUM_C:1] rst_sync_int;
|
||||
reg [NUM_C:1] rst_sync_int1;
|
||||
reg [NUM_C:1] rst_sync_int2;
|
||||
|
||||
|
||||
// Declare the clocks and counters
|
||||
wire [NUM_C:1] clk_int;
|
||||
wire [NUM_C:1] clk_n;
|
||||
wire [NUM_C:1] clk;
|
||||
reg [C_W-1:0] counter [NUM_C:1];
|
||||
|
||||
// Instantiation of the clocking network
|
||||
//--------------------------------------
|
||||
hdmi_clk clknetwork
|
||||
(// Clock in ports
|
||||
.CLK_IN1 (CLK_IN1),
|
||||
// Clock out ports
|
||||
.CLK_OUT1 (clk_int[1]),
|
||||
.CLK_OUT2 (clk_int[2]));
|
||||
|
||||
genvar clk_out_pins;
|
||||
|
||||
generate
|
||||
for (clk_out_pins = 1; clk_out_pins <= NUM_C; clk_out_pins = clk_out_pins + 1)
|
||||
begin: gen_outclk_oddr
|
||||
assign clk_n[clk_out_pins] = ~clk[clk_out_pins];
|
||||
|
||||
ODDR2 clkout_oddr
|
||||
(.Q (CLK_OUT[clk_out_pins]),
|
||||
.C0 (clk[clk_out_pins]),
|
||||
.C1 (clk_n[clk_out_pins]),
|
||||
.CE (1'b1),
|
||||
.D0 (1'b1),
|
||||
.D1 (1'b0),
|
||||
.R (1'b0),
|
||||
.S (1'b0));
|
||||
end
|
||||
endgenerate
|
||||
|
||||
// Connect the output clocks to the design
|
||||
//-----------------------------------------
|
||||
assign clk[1] = clk_int[1];
|
||||
assign clk[2] = clk_int[2];
|
||||
|
||||
|
||||
// Reset synchronizer
|
||||
//-----------------------------------
|
||||
generate for (count_gen = 1; count_gen <= NUM_C; count_gen = count_gen + 1) begin: counters_1
|
||||
always @(posedge reset_int or posedge clk[count_gen]) begin
|
||||
if (reset_int) begin
|
||||
rst_sync[count_gen] <= 1'b1;
|
||||
rst_sync_int[count_gen]<= 1'b1;
|
||||
rst_sync_int1[count_gen]<= 1'b1;
|
||||
rst_sync_int2[count_gen]<= 1'b1;
|
||||
end
|
||||
else begin
|
||||
rst_sync[count_gen] <= 1'b0;
|
||||
rst_sync_int[count_gen] <= rst_sync[count_gen];
|
||||
rst_sync_int1[count_gen] <= rst_sync_int[count_gen];
|
||||
rst_sync_int2[count_gen] <= rst_sync_int1[count_gen];
|
||||
end
|
||||
end
|
||||
end
|
||||
endgenerate
|
||||
|
||||
|
||||
// Output clock sampling
|
||||
//-----------------------------------
|
||||
generate for (count_gen = 1; count_gen <= NUM_C; count_gen = count_gen + 1) begin: counters
|
||||
|
||||
always @(posedge clk[count_gen] or posedge rst_sync_int2[count_gen]) begin
|
||||
if (rst_sync_int2[count_gen]) begin
|
||||
counter[count_gen] <= #TCQ { C_W { 1'b 0 } };
|
||||
end else begin
|
||||
counter[count_gen] <= #TCQ counter[count_gen] + 1'b 1;
|
||||
end
|
||||
end
|
||||
// alias the high bit of each counter to the corresponding
|
||||
// bit in the output bus
|
||||
assign COUNT[count_gen] = counter[count_gen][C_W-1];
|
||||
end
|
||||
endgenerate
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
endmodule
|
68
ipcore_dir/hdmi_clk/example_design/hdmi_clk_exdes.xdc
Executable file
68
ipcore_dir/hdmi_clk/example_design/hdmi_clk_exdes.xdc
Executable file
|
@ -0,0 +1,68 @@
|
|||
# file: hdmi_clk_exdes.xdc
|
||||
#
|
||||
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
#
|
||||
# This file contains confidential and proprietary information
|
||||
# of Xilinx, Inc. and is protected under U.S. and
|
||||
# international copyright and other intellectual property
|
||||
# laws.
|
||||
#
|
||||
# DISCLAIMER
|
||||
# This disclaimer is not a license and does not grant any
|
||||
# rights to the materials distributed herewith. Except as
|
||||
# otherwise provided in a valid license issued to you by
|
||||
# Xilinx, and to the maximum extent permitted by applicable
|
||||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
# (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
# including negligence, or under any other theory of
|
||||
# liability) for any loss or damage of any kind or nature
|
||||
# related to, arising under or in connection with these
|
||||
# materials, including for any direct, or any indirect,
|
||||
# special, incidental, or consequential loss or damage
|
||||
# (including loss of data, profits, goodwill, or any type of
|
||||
# loss or damage suffered as a result of any action brought
|
||||
# by a third party) even if such damage or loss was
|
||||
# reasonably foreseeable or Xilinx had been advised of the
|
||||
# possibility of the same.
|
||||
#
|
||||
# CRITICAL APPLICATIONS
|
||||
# Xilinx products are not designed or intended to be fail-
|
||||
# safe, or for use in any application requiring fail-safe
|
||||
# performance, such as life-support or safety devices or
|
||||
# systems, Class III medical devices, nuclear facilities,
|
||||
# applications related to the deployment of airbags, or any
|
||||
# other applications that could lead to death, personal
|
||||
# injury, or severe property or environmental damage
|
||||
# (individually and collectively, "Critical
|
||||
# Applications"). Customer assumes the sole risk and
|
||||
# liability of any use of Xilinx products in Critical
|
||||
# Applications, subject only to applicable laws and
|
||||
# regulations governing limitations on product liability.
|
||||
#
|
||||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
# PART OF THIS FILE AT ALL TIMES.
|
||||
#
|
||||
|
||||
# Input clock periods. These duplicate the values entered for the
|
||||
# input clocks. You can use these to time your system
|
||||
#----------------------------------------------------------------
|
||||
create_clock -name CLK_IN1 -period 20.000 [get_ports CLK_IN1]
|
||||
set_propagated_clock CLK_IN1
|
||||
set_input_jitter CLK_IN1 0.2
|
||||
|
||||
# FALSE PATH constraint added on COUNTER_RESET
|
||||
set_false_path -from [get_ports "COUNTER_RESET"]
|
||||
|
||||
# Derived clock periods. These are commented out because they are
|
||||
# automatically propogated by the tools
|
||||
# However, if you'd like to use them for module level testing, you
|
||||
# can copy them into your module level timing checks
|
||||
#-----------------------------------------------------------------
|
||||
|
||||
#-----------------------------------------------------------------
|
||||
|
||||
#-----------------------------------------------------------------
|
90
ipcore_dir/hdmi_clk/implement/implement.bat
Executable file
90
ipcore_dir/hdmi_clk/implement/implement.bat
Executable file
|
@ -0,0 +1,90 @@
|
|||
REM file: implement.bat
|
||||
REM
|
||||
REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
REM
|
||||
REM This file contains confidential and proprietary information
|
||||
REM of Xilinx, Inc. and is protected under U.S. and
|
||||
REM international copyright and other intellectual property
|
||||
REM laws.
|
||||
REM
|
||||
REM DISCLAIMER
|
||||
REM This disclaimer is not a license and does not grant any
|
||||
REM rights to the materials distributed herewith. Except as
|
||||
REM otherwise provided in a valid license issued to you by
|
||||
REM Xilinx, and to the maximum extent permitted by applicable
|
||||
REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
REM (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
REM including negligence, or under any other theory of
|
||||
REM liability) for any loss or damage of any kind or nature
|
||||
REM related to, arising under or in connection with these
|
||||
REM materials, including for any direct, or any indirect,
|
||||
REM special, incidental, or consequential loss or damage
|
||||
REM (including loss of data, profits, goodwill, or any type of
|
||||
REM loss or damage suffered as a result of any action brought
|
||||
REM by a third party) even if such damage or loss was
|
||||
REM reasonably foreseeable or Xilinx had been advised of the
|
||||
REM possibility of the same.
|
||||
REM
|
||||
REM CRITICAL APPLICATIONS
|
||||
REM Xilinx products are not designed or intended to be fail-
|
||||
REM safe, or for use in any application requiring fail-safe
|
||||
REM performance, such as life-support or safety devices or
|
||||
REM systems, Class III medical devices, nuclear facilities,
|
||||
REM applications related to the deployment of airbags, or any
|
||||
REM other applications that could lead to death, personal
|
||||
REM injury, or severe property or environmental damage
|
||||
REM (individually and collectively, "Critical
|
||||
REM Applications"). Customer assumes the sole risk and
|
||||
REM liability of any use of Xilinx products in Critical
|
||||
REM Applications, subject only to applicable laws and
|
||||
REM regulations governing limitations on product liability.
|
||||
REM
|
||||
REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
REM PART OF THIS FILE AT ALL TIMES.
|
||||
REM
|
||||
|
||||
REM -----------------------------------------------------------------------------
|
||||
REM Script to synthesize and implement the RTL provided for the clocking wizard
|
||||
REM -----------------------------------------------------------------------------
|
||||
|
||||
REM Clean up the results directory
|
||||
rmdir /S /Q results
|
||||
mkdir results
|
||||
|
||||
REM Copy unisim_comp.v file to results directory
|
||||
copy %XILINX%\verilog\src\iSE\unisim_comp.v .\results\
|
||||
|
||||
REM Synthesize the Verilog Wrapper Files
|
||||
echo 'Synthesizing Clocking Wizard design with XST'
|
||||
xst -ifn xst.scr
|
||||
move hdmi_clk_exdes.ngc results\
|
||||
|
||||
REM Copy the constraints files generated by Coregen
|
||||
echo 'Copying files from constraints directory to results directory'
|
||||
copy ..\example_design\hdmi_clk_exdes.ucf results\
|
||||
|
||||
cd results
|
||||
|
||||
echo 'Running ngdbuild'
|
||||
ngdbuild -uc hdmi_clk_exdes.ucf hdmi_clk_exdes
|
||||
|
||||
echo 'Running map'
|
||||
map -timing -pr b hdmi_clk_exdes -o mapped.ncd
|
||||
|
||||
echo 'Running par'
|
||||
par -w mapped.ncd routed mapped.pcf
|
||||
|
||||
echo 'Running trce'
|
||||
trce -e 10 routed -o routed mapped.pcf
|
||||
|
||||
echo 'Running design through bitgen'
|
||||
bitgen -w routed
|
||||
|
||||
echo 'Running netgen to create gate level model for the clocking wizard example design'
|
||||
netgen -ofmt verilog -sim -sdf_anno false -tm hdmi_clk_exdes -w routed.ncd routed.v
|
||||
cd ..
|
||||
|
91
ipcore_dir/hdmi_clk/implement/implement.sh
Executable file
91
ipcore_dir/hdmi_clk/implement/implement.sh
Executable file
|
@ -0,0 +1,91 @@
|
|||
#!/bin/sh
|
||||
# file: implement.sh
|
||||
#
|
||||
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
#
|
||||
# This file contains confidential and proprietary information
|
||||
# of Xilinx, Inc. and is protected under U.S. and
|
||||
# international copyright and other intellectual property
|
||||
# laws.
|
||||
#
|
||||
# DISCLAIMER
|
||||
# This disclaimer is not a license and does not grant any
|
||||
# rights to the materials distributed herewith. Except as
|
||||
# otherwise provided in a valid license issued to you by
|
||||
# Xilinx, and to the maximum extent permitted by applicable
|
||||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
# (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
# including negligence, or under any other theory of
|
||||
# liability) for any loss or damage of any kind or nature
|
||||
# related to, arising under or in connection with these
|
||||
# materials, including for any direct, or any indirect,
|
||||
# special, incidental, or consequential loss or damage
|
||||
# (including loss of data, profits, goodwill, or any type of
|
||||
# loss or damage suffered as a result of any action brought
|
||||
# by a third party) even if such damage or loss was
|
||||
# reasonably foreseeable or Xilinx had been advised of the
|
||||
# possibility of the same.
|
||||
#
|
||||
# CRITICAL APPLICATIONS
|
||||
# Xilinx products are not designed or intended to be fail-
|
||||
# safe, or for use in any application requiring fail-safe
|
||||
# performance, such as life-support or safety devices or
|
||||
# systems, Class III medical devices, nuclear facilities,
|
||||
# applications related to the deployment of airbags, or any
|
||||
# other applications that could lead to death, personal
|
||||
# injury, or severe property or environmental damage
|
||||
# (individually and collectively, "Critical
|
||||
# Applications"). Customer assumes the sole risk and
|
||||
# liability of any use of Xilinx products in Critical
|
||||
# Applications, subject only to applicable laws and
|
||||
# regulations governing limitations on product liability.
|
||||
#
|
||||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
# PART OF THIS FILE AT ALL TIMES.
|
||||
#
|
||||
|
||||
#-----------------------------------------------------------------------------
|
||||
# Script to synthesize and implement the RTL provided for the clocking wizard
|
||||
#-----------------------------------------------------------------------------
|
||||
|
||||
# Clean up the results directory
|
||||
rm -rf results
|
||||
mkdir results
|
||||
|
||||
# Copy unisim_comp.v file to results directory
|
||||
cp $XILINX/verilog/src/iSE/unisim_comp.v ./results/
|
||||
|
||||
# Synthesize the Verilog Wrapper Files
|
||||
echo 'Synthesizing Clocking Wizard design with XST'
|
||||
xst -ifn xst.scr
|
||||
mv hdmi_clk_exdes.ngc results/
|
||||
|
||||
# Copy the constraints files generated by Coregen
|
||||
echo 'Copying files from constraints directory to results directory'
|
||||
cp ../example_design/hdmi_clk_exdes.ucf results/
|
||||
|
||||
cd results
|
||||
|
||||
echo 'Running ngdbuild'
|
||||
ngdbuild -uc hdmi_clk_exdes.ucf hdmi_clk_exdes
|
||||
|
||||
echo 'Running map'
|
||||
map -timing hdmi_clk_exdes -o mapped.ncd
|
||||
|
||||
echo 'Running par'
|
||||
par -w mapped.ncd routed mapped.pcf
|
||||
|
||||
echo 'Running trce'
|
||||
trce -e 10 routed -o routed mapped.pcf
|
||||
|
||||
echo 'Running design through bitgen'
|
||||
bitgen -w routed
|
||||
|
||||
echo 'Running netgen to create gate level model for the clocking wizard example design'
|
||||
netgen -ofmt verilog -sim -sdf_anno false -tm hdmi_clk_exdes -w routed.ncd routed.v
|
||||
|
||||
cd ..
|
58
ipcore_dir/hdmi_clk/implement/planAhead_ise.bat
Executable file
58
ipcore_dir/hdmi_clk/implement/planAhead_ise.bat
Executable file
|
@ -0,0 +1,58 @@
|
|||
REM file: planAhead_ise.bat
|
||||
REM
|
||||
REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
REM
|
||||
REM This file contains confidential and proprietary information
|
||||
REM of Xilinx, Inc. and is protected under U.S. and
|
||||
REM international copyright and other intellectual property
|
||||
REM laws.
|
||||
REM
|
||||
REM DISCLAIMER
|
||||
REM This disclaimer is not a license and does not grant any
|
||||
REM rights to the materials distributed herewith. Except as
|
||||
REM otherwise provided in a valid license issued to you by
|
||||
REM Xilinx, and to the maximum extent permitted by applicable
|
||||
REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
REM (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
REM including negligence, or under any other theory of
|
||||
REM liability) for any loss or damage of any kind or nature
|
||||
REM related to, arising under or in connection with these
|
||||
REM materials, including for any direct, or any indirect,
|
||||
REM special, incidental, or consequential loss or damage
|
||||
REM (including loss of data, profits, goodwill, or any type of
|
||||
REM loss or damage suffered as a result of any action brought
|
||||
REM by a third party) even if such damage or loss was
|
||||
REM reasonably foreseeable or Xilinx had been advised of the
|
||||
REM possibility of the same.
|
||||
REM
|
||||
REM CRITICAL APPLICATIONS
|
||||
REM Xilinx products are not designed or intended to be fail-
|
||||
REM safe, or for use in any application requiring fail-safe
|
||||
REM performance, such as life-support or safety devices or
|
||||
REM systems, Class III medical devices, nuclear facilities,
|
||||
REM applications related to the deployment of airbags, or any
|
||||
REM other applications that could lead to death, personal
|
||||
REM injury, or severe property or environmental damage
|
||||
REM (individually and collectively, "Critical
|
||||
REM Applications"). Customer assumes the sole risk and
|
||||
REM liability of any use of Xilinx products in Critical
|
||||
REM Applications, subject only to applicable laws and
|
||||
REM regulations governing limitations on product liability.
|
||||
REM
|
||||
REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
REM PART OF THIS FILE AT ALL TIMES.
|
||||
REM
|
||||
|
||||
REM-----------------------------------------------------------------------------
|
||||
REM Script to synthesize and implement the RTL provided for the clocking wizard
|
||||
REM-----------------------------------------------------------------------------
|
||||
|
||||
del \f results
|
||||
mkdir results
|
||||
cd results
|
||||
|
||||
planAhead -mode batch -source ..\planAhead_ise.tcl
|
59
ipcore_dir/hdmi_clk/implement/planAhead_ise.sh
Executable file
59
ipcore_dir/hdmi_clk/implement/planAhead_ise.sh
Executable file
|
@ -0,0 +1,59 @@
|
|||
#!/bin/sh
|
||||
# file: planAhead_ise.sh
|
||||
#
|
||||
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
#
|
||||
# This file contains confidential and proprietary information
|
||||
# of Xilinx, Inc. and is protected under U.S. and
|
||||
# international copyright and other intellectual property
|
||||
# laws.
|
||||
#
|
||||
# DISCLAIMER
|
||||
# This disclaimer is not a license and does not grant any
|
||||
# rights to the materials distributed herewith. Except as
|
||||
# otherwise provided in a valid license issued to you by
|
||||
# Xilinx, and to the maximum extent permitted by applicable
|
||||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
# (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
# including negligence, or under any other theory of
|
||||
# liability) for any loss or damage of any kind or nature
|
||||
# related to, arising under or in connection with these
|
||||
# materials, including for any direct, or any indirect,
|
||||
# special, incidental, or consequential loss or damage
|
||||
# (including loss of data, profits, goodwill, or any type of
|
||||
# loss or damage suffered as a result of any action brought
|
||||
# by a third party) even if such damage or loss was
|
||||
# reasonably foreseeable or Xilinx had been advised of the
|
||||
# possibility of the same.
|
||||
#
|
||||
# CRITICAL APPLICATIONS
|
||||
# Xilinx products are not designed or intended to be fail-
|
||||
# safe, or for use in any application requiring fail-safe
|
||||
# performance, such as life-support or safety devices or
|
||||
# systems, Class III medical devices, nuclear facilities,
|
||||
# applications related to the deployment of airbags, or any
|
||||
# other applications that could lead to death, personal
|
||||
# injury, or severe property or environmental damage
|
||||
# (individually and collectively, "Critical
|
||||
# Applications"). Customer assumes the sole risk and
|
||||
# liability of any use of Xilinx products in Critical
|
||||
# Applications, subject only to applicable laws and
|
||||
# regulations governing limitations on product liability.
|
||||
#
|
||||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
# PART OF THIS FILE AT ALL TIMES.
|
||||
#
|
||||
|
||||
#-----------------------------------------------------------------------------
|
||||
# Script to synthesize and implement the RTL provided for the clocking wizard
|
||||
#-----------------------------------------------------------------------------
|
||||
|
||||
rm -rf results
|
||||
mkdir results
|
||||
cd results
|
||||
|
||||
planAhead -mode batch -source ../planAhead_ise.tcl
|
78
ipcore_dir/hdmi_clk/implement/planAhead_ise.tcl
Executable file
78
ipcore_dir/hdmi_clk/implement/planAhead_ise.tcl
Executable file
|
@ -0,0 +1,78 @@
|
|||
# file: planAhead_ise.tcl
|
||||
#
|
||||
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
#
|
||||
# This file contains confidential and proprietary information
|
||||
# of Xilinx, Inc. and is protected under U.S. and
|
||||
# international copyright and other intellectual property
|
||||
# laws.
|
||||
#
|
||||
# DISCLAIMER
|
||||
# This disclaimer is not a license and does not grant any
|
||||
# rights to the materials distributed herewith. Except as
|
||||
# otherwise provided in a valid license issued to you by
|
||||
# Xilinx, and to the maximum extent permitted by applicable
|
||||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
# (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
# including negligence, or under any other theory of
|
||||
# liability) for any loss or damage of any kind or nature
|
||||
# related to, arising under or in connection with these
|
||||
# materials, including for any direct, or any indirect,
|
||||
# special, incidental, or consequential loss or damage
|
||||
# (including loss of data, profits, goodwill, or any type of
|
||||
# loss or damage suffered as a result of any action brought
|
||||
# by a third party) even if such damage or loss was
|
||||
# reasonably foreseeable or Xilinx had been advised of the
|
||||
# possibility of the same.
|
||||
#
|
||||
# CRITICAL APPLICATIONS
|
||||
# Xilinx products are not designed or intended to be fail-
|
||||
# safe, or for use in any application requiring fail-safe
|
||||
# performance, such as life-support or safety devices or
|
||||
# systems, Class III medical devices, nuclear facilities,
|
||||
# applications related to the deployment of airbags, or any
|
||||
# other applications that could lead to death, personal
|
||||
# injury, or severe property or environmental damage
|
||||
# (individually and collectively, "Critical
|
||||
# Applications"). Customer assumes the sole risk and
|
||||
# liability of any use of Xilinx products in Critical
|
||||
# Applications, subject only to applicable laws and
|
||||
# regulations governing limitations on product liability.
|
||||
#
|
||||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
# PART OF THIS FILE AT ALL TIMES.
|
||||
#
|
||||
|
||||
set projDir [file dirname [info script]]
|
||||
set projName hdmi_clk
|
||||
set topName hdmi_clk_exdes
|
||||
set device xc6slx9tqg144-2
|
||||
|
||||
create_project $projName $projDir/results/$projName -part $device
|
||||
|
||||
set_property design_mode RTL [get_filesets sources_1]
|
||||
|
||||
## Source files
|
||||
#set verilogSources [glob $srcDir/*.v]
|
||||
import_files -fileset [get_filesets sources_1] -force -norecurse ../../example_design/hdmi_clk_exdes.v
|
||||
import_files -fileset [get_filesets sources_1] -force -norecurse ../../../hdmi_clk.v
|
||||
|
||||
|
||||
#UCF file
|
||||
import_files -fileset [get_filesets constrs_1] -force -norecurse ../../example_design/hdmi_clk_exdes.ucf
|
||||
|
||||
set_property top $topName [get_property srcset [current_run]]
|
||||
|
||||
launch_runs -runs synth_1
|
||||
wait_on_run synth_1
|
||||
|
||||
set_property add_step Bitgen [get_runs impl_1]
|
||||
launch_runs -runs impl_1
|
||||
wait_on_run impl_1
|
||||
|
||||
|
||||
|
58
ipcore_dir/hdmi_clk/implement/planAhead_rdn.bat
Executable file
58
ipcore_dir/hdmi_clk/implement/planAhead_rdn.bat
Executable file
|
@ -0,0 +1,58 @@
|
|||
REM file: planAhead_rdn.sh
|
||||
REM
|
||||
REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
REM
|
||||
REM This file contains confidential and proprietary information
|
||||
REM of Xilinx, Inc. and is protected under U.S. and
|
||||
REM international copyright and other intellectual property
|
||||
REM laws.
|
||||
REM
|
||||
REM DISCLAIMER
|
||||
REM This disclaimer is not a license and does not grant any
|
||||
REM rights to the materials distributed herewith. Except as
|
||||
REM otherwise provided in a valid license issued to you by
|
||||
REM Xilinx, and to the maximum extent permitted by applicable
|
||||
REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
REM (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
REM including negligence, or under any other theory of
|
||||
REM liability) for any loss or damage of any kind or nature
|
||||
REM related to, arising under or in connection with these
|
||||
REM materials, including for any direct, or any indirect,
|
||||
REM special, incidental, or consequential loss or damage
|
||||
REM (including loss of data, profits, goodwill, or any type of
|
||||
REM loss or damage suffered as a result of any action brought
|
||||
REM by a third party) even if such damage or loss was
|
||||
REM reasonably foreseeable or Xilinx had been advised of the
|
||||
REM possibility of the same.
|
||||
REM
|
||||
REM CRITICAL APPLICATIONS
|
||||
REM Xilinx products are not designed or intended to be fail-
|
||||
REM safe, or for use in any application requiring fail-safe
|
||||
REM performance, such as life-support or safety devices or
|
||||
REM systems, Class III medical devices, nuclear facilities,
|
||||
REM applications related to the deployment of airbags, or any
|
||||
REM other applications that could lead to death, personal
|
||||
REM injury, or severe property or environmental damage
|
||||
REM (individually and collectively, "Critical
|
||||
REM Applications"). Customer assumes the sole risk and
|
||||
REM liability of any use of Xilinx products in Critical
|
||||
REM Applications, subject only to applicable laws and
|
||||
REM regulations governing limitations on product liability.
|
||||
REM
|
||||
REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
REM PART OF THIS FILE AT ALL TIMES.
|
||||
REM
|
||||
|
||||
REM-----------------------------------------------------------------------------
|
||||
REM Script to synthesize and implement the RTL provided for the XADC wizard
|
||||
REM-----------------------------------------------------------------------------
|
||||
|
||||
del \f results
|
||||
mkdir results
|
||||
cd results
|
||||
|
||||
planAhead -mode batch -source ..\planAhead_rdn.tcl
|
57
ipcore_dir/hdmi_clk/implement/planAhead_rdn.sh
Executable file
57
ipcore_dir/hdmi_clk/implement/planAhead_rdn.sh
Executable file
|
@ -0,0 +1,57 @@
|
|||
#!/bin/sh
|
||||
# file: planAhead_rdn.sh
|
||||
#
|
||||
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
#
|
||||
# This file contains confidential and proprietary information
|
||||
# of Xilinx, Inc. and is protected under U.S. and
|
||||
# international copyright and other intellectual property
|
||||
# laws.
|
||||
#
|
||||
# DISCLAIMER
|
||||
# This disclaimer is not a license and does not grant any
|
||||
# rights to the materials distributed herewith. Except as
|
||||
# otherwise provided in a valid license issued to you by
|
||||
# Xilinx, and to the maximum extent permitted by applicable
|
||||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
# (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
# including negligence, or under any other theory of
|
||||
# liability) for any loss or damage of any kind or nature
|
||||
# related to, arising under or in connection with these
|
||||
# materials, including for any direct, or any indirect,
|
||||
# special, incidental, or consequential loss or damage
|
||||
# (including loss of data, profits, goodwill, or any type of
|
||||
# loss or damage suffered as a result of any action brought
|
||||
# by a third party) even if such damage or loss was
|
||||
# reasonably foreseeable or Xilinx had been advised of the
|
||||
# possibility of the same.
|
||||
#
|
||||
# CRITICAL APPLICATIONS
|
||||
# Xilinx products are not designed or intended to be fail-
|
||||
# safe, or for use in any application requiring fail-safe
|
||||
# performance, such as life-support or safety devices or
|
||||
# systems, Class III medical devices, nuclear facilities,
|
||||
# applications related to the deployment of airbags, or any
|
||||
# other applications that could lead to death, personal
|
||||
# injury, or severe property or environmental damage
|
||||
# (individually and collectively, "Critical
|
||||
# Applications"). Customer assumes the sole risk and
|
||||
# liability of any use of Xilinx products in Critical
|
||||
# Applications, subject only to applicable laws and
|
||||
# regulations governing limitations on product liability.
|
||||
#
|
||||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
# PART OF THIS FILE AT ALL TIMES.
|
||||
#
|
||||
|
||||
#-----------------------------------------------------------------------------
|
||||
# Script to synthesize and implement the RTL provided for the XADC wizard
|
||||
#-----------------------------------------------------------------------------
|
||||
rm -rf results
|
||||
mkdir results
|
||||
cd results
|
||||
planAhead -mode batch -source ../planAhead_rdn.tcl
|
69
ipcore_dir/hdmi_clk/implement/planAhead_rdn.tcl
Executable file
69
ipcore_dir/hdmi_clk/implement/planAhead_rdn.tcl
Executable file
|
@ -0,0 +1,69 @@
|
|||
# file : planAhead_rdn.tcl
|
||||
#
|
||||
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
#
|
||||
# This file contains confidential and proprietary information
|
||||
# of Xilinx, Inc. and is protected under U.S. and
|
||||
# international copyright and other intellectual property
|
||||
# laws.
|
||||
#
|
||||
# DISCLAIMER
|
||||
# This disclaimer is not a license and does not grant any
|
||||
# rights to the materials distributed herewith. Except as
|
||||
# otherwise provided in a valid license issued to you by
|
||||
# Xilinx, and to the maximum extent permitted by applicable
|
||||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
# (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
# including negligence, or under any other theory of
|
||||
# liability) for any loss or damage of any kind or nature
|
||||
# related to, arising under or in connection with these
|
||||
# materials, including for any direct, or any indirect,
|
||||
# special, incidental, or consequential loss or damage
|
||||
# (including loss of data, profits, goodwill, or any type of
|
||||
# loss or damage suffered as a result of any action brought
|
||||
# by a third party) even if such damage or loss was
|
||||
# reasonably foreseeable or Xilinx had been advised of the
|
||||
# possibility of the same.
|
||||
#
|
||||
# CRITICAL APPLICATIONS
|
||||
# Xilinx products are not designed or intended to be fail-
|
||||
# safe, or for use in any application requiring fail-safe
|
||||
# performance, such as life-support or safety devices or
|
||||
# systems, Class III medical devices, nuclear facilities,
|
||||
# applications related to the deployment of airbags, or any
|
||||
# other applications that could lead to death, personal
|
||||
# injury, or severe property or environmental damage
|
||||
# (individually and collectively, "Critical
|
||||
# Applications"). Customer assumes the sole risk and
|
||||
# liability of any use of Xilinx products in Critical
|
||||
# Applications, subject only to applicable laws and
|
||||
# regulations governing limitations on product liability.
|
||||
#
|
||||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
# PART OF THIS FILE AT ALL TIMES.
|
||||
#
|
||||
|
||||
set device xc6slx9tqg144-2
|
||||
set projName hdmi_clk
|
||||
set design hdmi_clk
|
||||
set projDir [file dirname [info script]]
|
||||
create_project $projName $projDir/results/$projName -part $device -force
|
||||
set_property design_mode RTL [current_fileset -srcset]
|
||||
set top_module hdmi_clk_exdes
|
||||
set_property top hdmi_clk_exdes [get_property srcset [current_run]]
|
||||
add_files -norecurse {../../../hdmi_clk.v}
|
||||
add_files -norecurse {../../example_design/hdmi_clk_exdes.v}
|
||||
import_files -fileset [get_filesets constrs_1 ] -force -norecurse {../../example_design/hdmi_clk_exdes.xdc}
|
||||
synth_design
|
||||
opt_design
|
||||
place_design
|
||||
route_design
|
||||
write_sdf -rename_top_module hdmi_clk_exdes -file routed.sdf
|
||||
write_verilog -nolib -mode timesim -sdf_anno false -rename_top_module hdmi_clk_exdes -file routed.v
|
||||
report_timing -nworst 30 -path_type full -file routed.twr
|
||||
report_drc -file report.drc
|
||||
write_bitstream -bitgen_options {-g UnconstrainedPins:Allow} -file routed.bit
|
2
ipcore_dir/hdmi_clk/implement/xst.prj
Executable file
2
ipcore_dir/hdmi_clk/implement/xst.prj
Executable file
|
@ -0,0 +1,2 @@
|
|||
verilog work ../../hdmi_clk.v
|
||||
verilog work ../example_design/hdmi_clk_exdes.v
|
9
ipcore_dir/hdmi_clk/implement/xst.scr
Executable file
9
ipcore_dir/hdmi_clk/implement/xst.scr
Executable file
|
@ -0,0 +1,9 @@
|
|||
run
|
||||
-ifmt MIXED
|
||||
-top hdmi_clk_exdes
|
||||
-p xc6slx9-tqg144-2
|
||||
-ifn xst.prj
|
||||
-ofn hdmi_clk_exdes
|
||||
-keep_hierarchy soft
|
||||
-equivalent_register_removal no
|
||||
-max_fanout 65535
|
8
ipcore_dir/hdmi_clk/simulation/functional/simcmds.tcl
Executable file
8
ipcore_dir/hdmi_clk/simulation/functional/simcmds.tcl
Executable file
|
@ -0,0 +1,8 @@
|
|||
# file: simcmds.tcl
|
||||
|
||||
# create the simulation script
|
||||
vcd dumpfile isim.vcd
|
||||
vcd dumpvars -m /hdmi_clk_tb -l 0
|
||||
wave add /
|
||||
run 50000ns
|
||||
quit
|
59
ipcore_dir/hdmi_clk/simulation/functional/simulate_isim.bat
Executable file
59
ipcore_dir/hdmi_clk/simulation/functional/simulate_isim.bat
Executable file
|
@ -0,0 +1,59 @@
|
|||
REM file: simulate_isim.bat
|
||||
REM
|
||||
REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
REM
|
||||
REM This file contains confidential and proprietary information
|
||||
REM of Xilinx, Inc. and is protected under U.S. and
|
||||
REM international copyright and other intellectual property
|
||||
REM laws.
|
||||
REM
|
||||
REM DISCLAIMER
|
||||
REM This disclaimer is not a license and does not grant any
|
||||
REM rights to the materials distributed herewith. Except as
|
||||
REM otherwise provided in a valid license issued to you by
|
||||
REM Xilinx, and to the maximum extent permitted by applicable
|
||||
REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
REM (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
REM including negligence, or under any other theory of
|
||||
REM liability) for any loss or damage of any kind or nature
|
||||
REM related to, arising under or in connection with these
|
||||
REM materials, including for any direct, or any indirect,
|
||||
REM special, incidental, or consequential loss or damage
|
||||
REM (including loss of data, profits, goodwill, or any type of
|
||||
REM loss or damage suffered as a result of any action brought
|
||||
REM by a third party) even if such damage or loss was
|
||||
REM reasonably foreseeable or Xilinx had been advised of the
|
||||
REM possibility of the same.
|
||||
REM
|
||||
REM CRITICAL APPLICATIONS
|
||||
REM Xilinx products are not designed or intended to be fail-
|
||||
REM safe, or for use in any application requiring fail-safe
|
||||
REM performance, such as life-support or safety devices or
|
||||
REM systems, Class III medical devices, nuclear facilities,
|
||||
REM applications related to the deployment of airbags, or any
|
||||
REM other applications that could lead to death, personal
|
||||
REM injury, or severe property or environmental damage
|
||||
REM (individually and collectively, "Critical
|
||||
REM Applications"). Customer assumes the sole risk and
|
||||
REM liability of any use of Xilinx products in Critical
|
||||
REM Applications, subject only to applicable laws and
|
||||
REM regulations governing limitations on product liability.
|
||||
REM
|
||||
REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
REM PART OF THIS FILE AT ALL TIMES.
|
||||
REM
|
||||
|
||||
vlogcomp -work work %XILINX%\verilog\src\glbl.v
|
||||
vlogcomp -work work ..\..\..\hdmi_clk.v
|
||||
vlogcomp -work work ..\..\example_design\hdmi_clk_exdes.v
|
||||
vlogcomp -work work ..\hdmi_clk_tb.v
|
||||
|
||||
REM compile the project
|
||||
fuse work.hdmi_clk_tb work.glbl -L unisims_ver -o hdmi_clk_isim.exe
|
||||
|
||||
REM run the simulation script
|
||||
.\hdmi_clk_isim.exe -gui -tclbatch simcmds.tcl
|
61
ipcore_dir/hdmi_clk/simulation/functional/simulate_isim.sh
Executable file
61
ipcore_dir/hdmi_clk/simulation/functional/simulate_isim.sh
Executable file
|
@ -0,0 +1,61 @@
|
|||
# file: simulate_isim.sh
|
||||
#
|
||||
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
#
|
||||
# This file contains confidential and proprietary information
|
||||
# of Xilinx, Inc. and is protected under U.S. and
|
||||
# international copyright and other intellectual property
|
||||
# laws.
|
||||
#
|
||||
# DISCLAIMER
|
||||
# This disclaimer is not a license and does not grant any
|
||||
# rights to the materials distributed herewith. Except as
|
||||
# otherwise provided in a valid license issued to you by
|
||||
# Xilinx, and to the maximum extent permitted by applicable
|
||||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
# (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
# including negligence, or under any other theory of
|
||||
# liability) for any loss or damage of any kind or nature
|
||||
# related to, arising under or in connection with these
|
||||
# materials, including for any direct, or any indirect,
|
||||
# special, incidental, or consequential loss or damage
|
||||
# (including loss of data, profits, goodwill, or any type of
|
||||
# loss or damage suffered as a result of any action brought
|
||||
# by a third party) even if such damage or loss was
|
||||
# reasonably foreseeable or Xilinx had been advised of the
|
||||
# possibility of the same.
|
||||
#
|
||||
# CRITICAL APPLICATIONS
|
||||
# Xilinx products are not designed or intended to be fail-
|
||||
# safe, or for use in any application requiring fail-safe
|
||||
# performance, such as life-support or safety devices or
|
||||
# systems, Class III medical devices, nuclear facilities,
|
||||
# applications related to the deployment of airbags, or any
|
||||
# other applications that could lead to death, personal
|
||||
# injury, or severe property or environmental damage
|
||||
# (individually and collectively, "Critical
|
||||
# Applications"). Customer assumes the sole risk and
|
||||
# liability of any use of Xilinx products in Critical
|
||||
# Applications, subject only to applicable laws and
|
||||
# regulations governing limitations on product liability.
|
||||
#
|
||||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
# PART OF THIS FILE AT ALL TIMES.
|
||||
#
|
||||
|
||||
# lin64
|
||||
# create the project
|
||||
vlogcomp -work work ${XILINX}/verilog/src/glbl.v
|
||||
vlogcomp -work work ../../../hdmi_clk.v
|
||||
vlogcomp -work work ../../example_design/hdmi_clk_exdes.v
|
||||
vlogcomp -work work ../hdmi_clk_tb.v
|
||||
|
||||
# compile the project
|
||||
fuse work.hdmi_clk_tb work.glbl -L unisims_ver -o hdmi_clk_isim.exe
|
||||
|
||||
# run the simulation script
|
||||
./hdmi_clk_isim.exe -gui -tclbatch simcmds.tcl
|
61
ipcore_dir/hdmi_clk/simulation/functional/simulate_mti.bat
Executable file
61
ipcore_dir/hdmi_clk/simulation/functional/simulate_mti.bat
Executable file
|
@ -0,0 +1,61 @@
|
|||
REM file: simulate_mti.bat
|
||||
REM
|
||||
REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
REM
|
||||
REM This file contains confidential and proprietary information
|
||||
REM of Xilinx, Inc. and is protected under U.S. and
|
||||
REM international copyright and other intellectual property
|
||||
REM laws.
|
||||
REM
|
||||
REM DISCLAIMER
|
||||
REM This disclaimer is not a license and does not grant any
|
||||
REM rights to the materials distributed herewith. Except as
|
||||
REM otherwise provided in a valid license issued to you by
|
||||
REM Xilinx, and to the maximum extent permitted by applicable
|
||||
REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
REM (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
REM including negligence, or under any other theory of
|
||||
REM liability) for any loss or damage of any kind or nature
|
||||
REM related to, arising under or in connection with these
|
||||
REM materials, including for any direct, or any indirect,
|
||||
REM special, incidental, or consequential loss or damage
|
||||
REM (including loss of data, profits, goodwill, or any type of
|
||||
REM loss or damage suffered as a result of any action brought
|
||||
REM by a third party) even if such damage or loss was
|
||||
REM reasonably foreseeable or Xilinx had been advised of the
|
||||
REM possibility of the same.
|
||||
REM
|
||||
REM CRITICAL APPLICATIONS
|
||||
REM Xilinx products are not designed or intended to be fail-
|
||||
REM safe, or for use in any application requiring fail-safe
|
||||
REM performance, such as life-support or safety devices or
|
||||
REM systems, Class III medical devices, nuclear facilities,
|
||||
REM applications related to the deployment of airbags, or any
|
||||
REM other applications that could lead to death, personal
|
||||
REM injury, or severe property or environmental damage
|
||||
REM (individually and collectively, "Critical
|
||||
REM Applications"). Customer assumes the sole risk and
|
||||
REM liability of any use of Xilinx products in Critical
|
||||
REM Applications, subject only to applicable laws and
|
||||
REM regulations governing limitations on product liability.
|
||||
REM
|
||||
REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
REM PART OF THIS FILE AT ALL TIMES.
|
||||
REM
|
||||
|
||||
REM set up the working directory
|
||||
vlib work
|
||||
|
||||
REM compile all of the files
|
||||
vlog -work work %XILINX%\verilog\src\glbl.v
|
||||
vlog -work work ..\..\..\hdmi_clk.v
|
||||
vlog -work work ..\..\example_design\hdmi_clk_exdes.v
|
||||
vlog -work work ..\hdmi_clk_tb.v
|
||||
|
||||
REM run the simulation
|
||||
vsim -c -t ps -voptargs="+acc" -L secureip -L unisims_ver work.hdmi_clk_tb work.glbl
|
||||
|
65
ipcore_dir/hdmi_clk/simulation/functional/simulate_mti.do
Executable file
65
ipcore_dir/hdmi_clk/simulation/functional/simulate_mti.do
Executable file
|
@ -0,0 +1,65 @@
|
|||
# file: simulate_mti.do
|
||||
#
|
||||
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
#
|
||||
# This file contains confidential and proprietary information
|
||||
# of Xilinx, Inc. and is protected under U.S. and
|
||||
# international copyright and other intellectual property
|
||||
# laws.
|
||||
#
|
||||
# DISCLAIMER
|
||||
# This disclaimer is not a license and does not grant any
|
||||
# rights to the materials distributed herewith. Except as
|
||||
# otherwise provided in a valid license issued to you by
|
||||
# Xilinx, and to the maximum extent permitted by applicable
|
||||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
# (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
# including negligence, or under any other theory of
|
||||
# liability) for any loss or damage of any kind or nature
|
||||
# related to, arising under or in connection with these
|
||||
# materials, including for any direct, or any indirect,
|
||||
# special, incidental, or consequential loss or damage
|
||||
# (including loss of data, profits, goodwill, or any type of
|
||||
# loss or damage suffered as a result of any action brought
|
||||
# by a third party) even if such damage or loss was
|
||||
# reasonably foreseeable or Xilinx had been advised of the
|
||||
# possibility of the same.
|
||||
#
|
||||
# CRITICAL APPLICATIONS
|
||||
# Xilinx products are not designed or intended to be fail-
|
||||
# safe, or for use in any application requiring fail-safe
|
||||
# performance, such as life-support or safety devices or
|
||||
# systems, Class III medical devices, nuclear facilities,
|
||||
# applications related to the deployment of airbags, or any
|
||||
# other applications that could lead to death, personal
|
||||
# injury, or severe property or environmental damage
|
||||
# (individually and collectively, "Critical
|
||||
# Applications"). Customer assumes the sole risk and
|
||||
# liability of any use of Xilinx products in Critical
|
||||
# Applications, subject only to applicable laws and
|
||||
# regulations governing limitations on product liability.
|
||||
#
|
||||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
# PART OF THIS FILE AT ALL TIMES.
|
||||
#
|
||||
|
||||
# set up the working directory
|
||||
set work work
|
||||
vlib work
|
||||
|
||||
# compile all of the files
|
||||
vlog -work work $env(XILINX)/verilog/src/glbl.v
|
||||
vlog -work work ../../../hdmi_clk.v
|
||||
vlog -work work ../../example_design/hdmi_clk_exdes.v
|
||||
vlog -work work ../hdmi_clk_tb.v
|
||||
|
||||
# run the simulation
|
||||
vsim -t ps -voptargs="+acc" -L unisims_ver work.hdmi_clk_tb work.glbl
|
||||
do wave.do
|
||||
log hdmi_clk_tb/dut/counter
|
||||
log -r /*
|
||||
run 50000ns
|
61
ipcore_dir/hdmi_clk/simulation/functional/simulate_mti.sh
Executable file
61
ipcore_dir/hdmi_clk/simulation/functional/simulate_mti.sh
Executable file
|
@ -0,0 +1,61 @@
|
|||
#/bin/sh
|
||||
# file: simulate_mti.sh
|
||||
#
|
||||
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
#
|
||||
# This file contains confidential and proprietary information
|
||||
# of Xilinx, Inc. and is protected under U.S. and
|
||||
# international copyright and other intellectual property
|
||||
# laws.
|
||||
#
|
||||
# DISCLAIMER
|
||||
# This disclaimer is not a license and does not grant any
|
||||
# rights to the materials distributed herewith. Except as
|
||||
# otherwise provided in a valid license issued to you by
|
||||
# Xilinx, and to the maximum extent permitted by applicable
|
||||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
# (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
# including negligence, or under any other theory of
|
||||
# liability) for any loss or damage of any kind or nature
|
||||
# related to, arising under or in connection with these
|
||||
# materials, including for any direct, or any indirect,
|
||||
# special, incidental, or consequential loss or damage
|
||||
# (including loss of data, profits, goodwill, or any type of
|
||||
# loss or damage suffered as a result of any action brought
|
||||
# by a third party) even if such damage or loss was
|
||||
# reasonably foreseeable or Xilinx had been advised of the
|
||||
# possibility of the same.
|
||||
#
|
||||
# CRITICAL APPLICATIONS
|
||||
# Xilinx products are not designed or intended to be fail-
|
||||
# safe, or for use in any application requiring fail-safe
|
||||
# performance, such as life-support or safety devices or
|
||||
# systems, Class III medical devices, nuclear facilities,
|
||||
# applications related to the deployment of airbags, or any
|
||||
# other applications that could lead to death, personal
|
||||
# injury, or severe property or environmental damage
|
||||
# (individually and collectively, "Critical
|
||||
# Applications"). Customer assumes the sole risk and
|
||||
# liability of any use of Xilinx products in Critical
|
||||
# Applications, subject only to applicable laws and
|
||||
# regulations governing limitations on product liability.
|
||||
#
|
||||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
# PART OF THIS FILE AT ALL TIMES.
|
||||
#
|
||||
# set up the working directory
|
||||
set work work
|
||||
vlib work
|
||||
|
||||
# compile all of the files
|
||||
vlog -work work $XILINX/verilog/src/glbl.v
|
||||
vlog -work work ../../../hdmi_clk.v
|
||||
vlog -work work ../../example_design/hdmi_clk_exdes.v
|
||||
vlog -work work ../hdmi_clk_tb.v
|
||||
|
||||
# run the simulation
|
||||
vsim -c -t ps -voptargs="+acc" -L secureip -L unisims_ver work.hdmi_clk_tb work.glbl
|
62
ipcore_dir/hdmi_clk/simulation/functional/simulate_ncsim.sh
Executable file
62
ipcore_dir/hdmi_clk/simulation/functional/simulate_ncsim.sh
Executable file
|
@ -0,0 +1,62 @@
|
|||
#/bin/sh
|
||||
# file: simulate_ncsim.sh
|
||||
#
|
||||
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
#
|
||||
# This file contains confidential and proprietary information
|
||||
# of Xilinx, Inc. and is protected under U.S. and
|
||||
# international copyright and other intellectual property
|
||||
# laws.
|
||||
#
|
||||
# DISCLAIMER
|
||||
# This disclaimer is not a license and does not grant any
|
||||
# rights to the materials distributed herewith. Except as
|
||||
# otherwise provided in a valid license issued to you by
|
||||
# Xilinx, and to the maximum extent permitted by applicable
|
||||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
# (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
# including negligence, or under any other theory of
|
||||
# liability) for any loss or damage of any kind or nature
|
||||
# related to, arising under or in connection with these
|
||||
# materials, including for any direct, or any indirect,
|
||||
# special, incidental, or consequential loss or damage
|
||||
# (including loss of data, profits, goodwill, or any type of
|
||||
# loss or damage suffered as a result of any action brought
|
||||
# by a third party) even if such damage or loss was
|
||||
# reasonably foreseeable or Xilinx had been advised of the
|
||||
# possibility of the same.
|
||||
#
|
||||
# CRITICAL APPLICATIONS
|
||||
# Xilinx products are not designed or intended to be fail-
|
||||
# safe, or for use in any application requiring fail-safe
|
||||
# performance, such as life-support or safety devices or
|
||||
# systems, Class III medical devices, nuclear facilities,
|
||||
# applications related to the deployment of airbags, or any
|
||||
# other applications that could lead to death, personal
|
||||
# injury, or severe property or environmental damage
|
||||
# (individually and collectively, "Critical
|
||||
# Applications"). Customer assumes the sole risk and
|
||||
# liability of any use of Xilinx products in Critical
|
||||
# Applications, subject only to applicable laws and
|
||||
# regulations governing limitations on product liability.
|
||||
#
|
||||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
# PART OF THIS FILE AT ALL TIMES.
|
||||
#
|
||||
|
||||
# set up the working directory
|
||||
mkdir work
|
||||
|
||||
# compile all of the files
|
||||
ncvlog -work work ${XILINX}/verilog/src/glbl.v
|
||||
ncvlog -work work ../../../hdmi_clk.v
|
||||
ncvlog -work work ../../example_design/hdmi_clk_exdes.v
|
||||
ncvlog -work work ../hdmi_clk_tb.v
|
||||
|
||||
# elaborate and run the simulation
|
||||
ncelab -work work -access +wc work.hdmi_clk_tb work.glbl
|
||||
ncsim -input "@database -open -shm nc; probe -create -database nc -all -depth all; probe dut.counter; run 50000ns; exit" work.hdmi_clk_tb
|
72
ipcore_dir/hdmi_clk/simulation/functional/simulate_vcs.sh
Executable file
72
ipcore_dir/hdmi_clk/simulation/functional/simulate_vcs.sh
Executable file
|
@ -0,0 +1,72 @@
|
|||
#!/bin/sh
|
||||
# file: simulate_vcs.sh
|
||||
#
|
||||
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
#
|
||||
# This file contains confidential and proprietary information
|
||||
# of Xilinx, Inc. and is protected under U.S. and
|
||||
# international copyright and other intellectual property
|
||||
# laws.
|
||||
#
|
||||
# DISCLAIMER
|
||||
# This disclaimer is not a license and does not grant any
|
||||
# rights to the materials distributed herewith. Except as
|
||||
# otherwise provided in a valid license issued to you by
|
||||
# Xilinx, and to the maximum extent permitted by applicable
|
||||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
# (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
# including negligence, or under any other theory of
|
||||
# liability) for any loss or damage of any kind or nature
|
||||
# related to, arising under or in connection with these
|
||||
# materials, including for any direct, or any indirect,
|
||||
# special, incidental, or consequential loss or damage
|
||||
# (including loss of data, profits, goodwill, or any type of
|
||||
# loss or damage suffered as a result of any action brought
|
||||
# by a third party) even if such damage or loss was
|
||||
# reasonably foreseeable or Xilinx had been advised of the
|
||||
# possibility of the same.
|
||||
#
|
||||
# CRITICAL APPLICATIONS
|
||||
# Xilinx products are not designed or intended to be fail-
|
||||
# safe, or for use in any application requiring fail-safe
|
||||
# performance, such as life-support or safety devices or
|
||||
# systems, Class III medical devices, nuclear facilities,
|
||||
# applications related to the deployment of airbags, or any
|
||||
# other applications that could lead to death, personal
|
||||
# injury, or severe property or environmental damage
|
||||
# (individually and collectively, "Critical
|
||||
# Applications"). Customer assumes the sole risk and
|
||||
# liability of any use of Xilinx products in Critical
|
||||
# Applications, subject only to applicable laws and
|
||||
# regulations governing limitations on product liability.
|
||||
#
|
||||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
# PART OF THIS FILE AT ALL TIMES.
|
||||
#
|
||||
|
||||
# remove old files
|
||||
rm -rf simv* csrc DVEfiles AN.DB
|
||||
|
||||
# compile all of the files
|
||||
# Note that -sverilog is not strictly required- You can
|
||||
# remove the -sverilog if you change the type of the
|
||||
# localparam for the periods in the testbench file to
|
||||
# [63:0] from time
|
||||
vlogan -sverilog \
|
||||
${XILINX}/verilog/src/glbl.v \
|
||||
../../../hdmi_clk.v \
|
||||
../../example_design/hdmi_clk_exdes.v \
|
||||
../hdmi_clk_tb.v
|
||||
|
||||
# prepare the simulation
|
||||
vcs +vcs+lic+wait -debug hdmi_clk_tb glbl
|
||||
|
||||
# run the simulation
|
||||
./simv -ucli -i ucli_commands.key
|
||||
|
||||
# launch the viewer
|
||||
dve -vpd vcdplus.vpd -session vcs_session.tcl
|
5
ipcore_dir/hdmi_clk/simulation/functional/ucli_commands.key
Executable file
5
ipcore_dir/hdmi_clk/simulation/functional/ucli_commands.key
Executable file
|
@ -0,0 +1,5 @@
|
|||
call {$vcdpluson}
|
||||
call {$vcdplusmemon(hdmi_clk_tb.dut.counter)}
|
||||
run
|
||||
call {$vcdplusclose}
|
||||
quit
|
15
ipcore_dir/hdmi_clk/simulation/functional/vcs_session.tcl
Executable file
15
ipcore_dir/hdmi_clk/simulation/functional/vcs_session.tcl
Executable file
|
@ -0,0 +1,15 @@
|
|||
gui_open_window Wave
|
||||
gui_sg_create hdmi_clk_group
|
||||
gui_list_add_group -id Wave.1 {hdmi_clk_group}
|
||||
gui_sg_addsignal -group hdmi_clk_group {hdmi_clk_tb.test_phase}
|
||||
gui_set_radix -radix {ascii} -signals {hdmi_clk_tb.test_phase}
|
||||
gui_sg_addsignal -group hdmi_clk_group {{Input_clocks}} -divider
|
||||
gui_sg_addsignal -group hdmi_clk_group {hdmi_clk_tb.CLK_IN1}
|
||||
gui_sg_addsignal -group hdmi_clk_group {{Output_clocks}} -divider
|
||||
gui_sg_addsignal -group hdmi_clk_group {hdmi_clk_tb.dut.clk}
|
||||
gui_list_expand -id Wave.1 hdmi_clk_tb.dut.clk
|
||||
gui_sg_addsignal -group hdmi_clk_group {{Counters}} -divider
|
||||
gui_sg_addsignal -group hdmi_clk_group {hdmi_clk_tb.COUNT}
|
||||
gui_sg_addsignal -group hdmi_clk_group {hdmi_clk_tb.dut.counter}
|
||||
gui_list_expand -id Wave.1 hdmi_clk_tb.dut.counter
|
||||
gui_zoom -window Wave.1 -full
|
57
ipcore_dir/hdmi_clk/simulation/functional/wave.do
Executable file
57
ipcore_dir/hdmi_clk/simulation/functional/wave.do
Executable file
|
@ -0,0 +1,57 @@
|
|||
# file: wave.do
|
||||
#
|
||||
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
#
|
||||
# This file contains confidential and proprietary information
|
||||
# of Xilinx, Inc. and is protected under U.S. and
|
||||
# international copyright and other intellectual property
|
||||
# laws.
|
||||
#
|
||||
# DISCLAIMER
|
||||
# This disclaimer is not a license and does not grant any
|
||||
# rights to the materials distributed herewith. Except as
|
||||
# otherwise provided in a valid license issued to you by
|
||||
# Xilinx, and to the maximum extent permitted by applicable
|
||||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
# (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
# including negligence, or under any other theory of
|
||||
# liability) for any loss or damage of any kind or nature
|
||||
# related to, arising under or in connection with these
|
||||
# materials, including for any direct, or any indirect,
|
||||
# special, incidental, or consequential loss or damage
|
||||
# (including loss of data, profits, goodwill, or any type of
|
||||
# loss or damage suffered as a result of any action brought
|
||||
# by a third party) even if such damage or loss was
|
||||
# reasonably foreseeable or Xilinx had been advised of the
|
||||
# possibility of the same.
|
||||
#
|
||||
# CRITICAL APPLICATIONS
|
||||
# Xilinx products are not designed or intended to be fail-
|
||||
# safe, or for use in any application requiring fail-safe
|
||||
# performance, such as life-support or safety devices or
|
||||
# systems, Class III medical devices, nuclear facilities,
|
||||
# applications related to the deployment of airbags, or any
|
||||
# other applications that could lead to death, personal
|
||||
# injury, or severe property or environmental damage
|
||||
# (individually and collectively, "Critical
|
||||
# Applications"). Customer assumes the sole risk and
|
||||
# liability of any use of Xilinx products in Critical
|
||||
# Applications, subject only to applicable laws and
|
||||
# regulations governing limitations on product liability.
|
||||
#
|
||||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
# PART OF THIS FILE AT ALL TIMES.
|
||||
#
|
||||
|
||||
add wave -noupdate -format Literal -radix ascii /hdmi_clk_tb/test_phase
|
||||
add wave -noupdate -divider {Input clocks}
|
||||
add wave -noupdate -format Logic /hdmi_clk_tb/CLK_IN1
|
||||
add wave -noupdate -divider {Output clocks}
|
||||
add wave -noupdate -format Literal -expand /hdmi_clk_tb/dut/clk
|
||||
add wave -noupdate -divider Counters
|
||||
add wave -noupdate -format Literal -radix hexadecimal /hdmi_clk_tb/COUNT
|
||||
add wave -noupdate -format Literal -radix hexadecimal -expand /hdmi_clk_tb/dut/counter
|
111
ipcore_dir/hdmi_clk/simulation/functional/wave.sv
Executable file
111
ipcore_dir/hdmi_clk/simulation/functional/wave.sv
Executable file
|
@ -0,0 +1,111 @@
|
|||
# file: wave.sv
|
||||
#
|
||||
# (c) Copyright 2008 - 2010 Xilinx, Inc. All rights reserved.
|
||||
#
|
||||
# This file contains confidential and proprietary information
|
||||
# of Xilinx, Inc. and is protected under U.S. and
|
||||
# international copyright and other intellectual property
|
||||
# laws.
|
||||
#
|
||||
# DISCLAIMER
|
||||
# This disclaimer is not a license and does not grant any
|
||||
# rights to the materials distributed herewith. Except as
|
||||
# otherwise provided in a valid license issued to you by
|
||||
# Xilinx, and to the maximum extent permitted by applicable
|
||||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
# (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
# including negligence, or under any other theory of
|
||||
# liability) for any loss or damage of any kind or nature
|
||||
# related to, arising under or in connection with these
|
||||
# materials, including for any direct, or any indirect,
|
||||
# special, incidental, or consequential loss or damage
|
||||
# (including loss of data, profits, goodwill, or any type of
|
||||
# loss or damage suffered as a result of any action brought
|
||||
# by a third party) even if such damage or loss was
|
||||
# reasonably foreseeable or Xilinx had been advised of the
|
||||
# possibility of the same.
|
||||
#
|
||||
# CRITICAL APPLICATIONS
|
||||
# Xilinx products are not designed or intended to be fail-
|
||||
# safe, or for use in any application requiring fail-safe
|
||||
# performance, such as life-support or safety devices or
|
||||
# systems, Class III medical devices, nuclear facilities,
|
||||
# applications related to the deployment of airbags, or any
|
||||
# other applications that could lead to death, personal
|
||||
# injury, or severe property or environmental damage
|
||||
# (individually and collectively, "Critical
|
||||
# Applications"). Customer assumes the sole risk and
|
||||
# liability of any use of Xilinx products in Critical
|
||||
# Applications, subject only to applicable laws and
|
||||
# regulations governing limitations on product liability.
|
||||
#
|
||||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
# PART OF THIS FILE AT ALL TIMES.
|
||||
#
|
||||
# Get the windows set up
|
||||
#
|
||||
if {[catch {window new WatchList -name "Design Browser 1" -geometry 1054x819+536+322}] != ""} {
|
||||
window geometry "Design Browser 1" 1054x819+536+322
|
||||
}
|
||||
window target "Design Browser 1" on
|
||||
browser using {Design Browser 1}
|
||||
browser set \
|
||||
-scope nc::hdmi_clk_tb
|
||||
browser yview see nc::hdmi_clk_tb
|
||||
browser timecontrol set -lock 0
|
||||
|
||||
if {[catch {window new WaveWindow -name "Waveform 1" -geometry 1010x600+0+541}] != ""} {
|
||||
window geometry "Waveform 1" 1010x600+0+541
|
||||
}
|
||||
window target "Waveform 1" on
|
||||
waveform using {Waveform 1}
|
||||
waveform sidebar visibility partial
|
||||
waveform set \
|
||||
-primarycursor TimeA \
|
||||
-signalnames name \
|
||||
-signalwidth 175 \
|
||||
-units ns \
|
||||
-valuewidth 75
|
||||
cursor set -using TimeA -time 0
|
||||
waveform baseline set -time 0
|
||||
waveform xview limits 0 20000n
|
||||
|
||||
#
|
||||
# Define signal groups
|
||||
#
|
||||
catch {group new -name {Output clocks} -overlay 0}
|
||||
catch {group new -name {Status/control} -overlay 0}
|
||||
catch {group new -name {Counters} -overlay 0}
|
||||
|
||||
set id [waveform add -signals [list {nc::hdmi_clk_tb.CLK_IN1}]]
|
||||
|
||||
group using {Output clocks}
|
||||
group set -overlay 0
|
||||
group set -comment {}
|
||||
group clear 0 end
|
||||
|
||||
group insert \
|
||||
{hdmi_clk_tb.dut.clk[1]} \
|
||||
{hdmi_clk_tb.dut.clk[2]}
|
||||
group using {Counters}
|
||||
group set -overlay 0
|
||||
group set -comment {}
|
||||
group clear 0 end
|
||||
|
||||
group insert \
|
||||
{hdmi_clk_tb.dut.counter[1]} \
|
||||
{hdmi_clk_tb.dut.counter[2]}
|
||||
|
||||
set id [waveform add -signals [list {nc::hdmi_clk_tb.COUNT} ]]
|
||||
|
||||
set id [waveform add -signals [list {nc::hdmi_clk_tb.test_phase} ]]
|
||||
waveform format $id -radix %a
|
||||
|
||||
set groupId [waveform add -groups {{Input clocks}}]
|
||||
set groupId [waveform add -groups {{Output clocks}}]
|
||||
set groupId [waveform add -groups {{Status/control}}]
|
||||
set groupId [waveform add -groups {{Counters}}]
|
133
ipcore_dir/hdmi_clk/simulation/hdmi_clk_tb.v
Executable file
133
ipcore_dir/hdmi_clk/simulation/hdmi_clk_tb.v
Executable file
|
@ -0,0 +1,133 @@
|
|||
// file: hdmi_clk_tb.v
|
||||
//
|
||||
// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
//
|
||||
// This file contains confidential and proprietary information
|
||||
// of Xilinx, Inc. and is protected under U.S. and
|
||||
// international copyright and other intellectual property
|
||||
// laws.
|
||||
//
|
||||
// DISCLAIMER
|
||||
// This disclaimer is not a license and does not grant any
|
||||
// rights to the materials distributed herewith. Except as
|
||||
// otherwise provided in a valid license issued to you by
|
||||
// Xilinx, and to the maximum extent permitted by applicable
|
||||
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
// (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
// including negligence, or under any other theory of
|
||||
// liability) for any loss or damage of any kind or nature
|
||||
// related to, arising under or in connection with these
|
||||
// materials, including for any direct, or any indirect,
|
||||
// special, incidental, or consequential loss or damage
|
||||
// (including loss of data, profits, goodwill, or any type of
|
||||
// loss or damage suffered as a result of any action brought
|
||||
// by a third party) even if such damage or loss was
|
||||
// reasonably foreseeable or Xilinx had been advised of the
|
||||
// possibility of the same.
|
||||
//
|
||||
// CRITICAL APPLICATIONS
|
||||
// Xilinx products are not designed or intended to be fail-
|
||||
// safe, or for use in any application requiring fail-safe
|
||||
// performance, such as life-support or safety devices or
|
||||
// systems, Class III medical devices, nuclear facilities,
|
||||
// applications related to the deployment of airbags, or any
|
||||
// other applications that could lead to death, personal
|
||||
// injury, or severe property or environmental damage
|
||||
// (individually and collectively, "Critical
|
||||
// Applications"). Customer assumes the sole risk and
|
||||
// liability of any use of Xilinx products in Critical
|
||||
// Applications, subject only to applicable laws and
|
||||
// regulations governing limitations on product liability.
|
||||
//
|
||||
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
// PART OF THIS FILE AT ALL TIMES.
|
||||
//
|
||||
|
||||
//----------------------------------------------------------------------------
|
||||
// Clocking wizard demonstration testbench
|
||||
//----------------------------------------------------------------------------
|
||||
// This demonstration testbench instantiates the example design for the
|
||||
// clocking wizard. Input clocks are toggled, which cause the clocking
|
||||
// network to lock and the counters to increment.
|
||||
//----------------------------------------------------------------------------
|
||||
|
||||
`timescale 1ps/1ps
|
||||
|
||||
`define wait_lock @(posedge dut.clknetwork.pll_base_inst.LOCKED)
|
||||
|
||||
module hdmi_clk_tb ();
|
||||
|
||||
// Clock to Q delay of 100ps
|
||||
localparam TCQ = 100;
|
||||
|
||||
|
||||
// timescale is 1ps/1ps
|
||||
localparam ONE_NS = 1000;
|
||||
localparam PHASE_ERR_MARGIN = 100; // 100ps
|
||||
// how many cycles to run
|
||||
localparam COUNT_PHASE = 1024;
|
||||
// we'll be using the period in many locations
|
||||
localparam time PER1 = 20.000*ONE_NS;
|
||||
localparam time PER1_1 = PER1/2;
|
||||
localparam time PER1_2 = PER1 - PER1/2;
|
||||
|
||||
// Declare the input clock signals
|
||||
reg CLK_IN1 = 1;
|
||||
|
||||
// The high bits of the sampling counters
|
||||
wire [2:1] COUNT;
|
||||
reg COUNTER_RESET = 0;
|
||||
wire [2:1] CLK_OUT;
|
||||
//Freq Check using the M & D values setting and actual Frequency generated
|
||||
|
||||
|
||||
// Input clock generation
|
||||
//------------------------------------
|
||||
always begin
|
||||
CLK_IN1 = #PER1_1 ~CLK_IN1;
|
||||
CLK_IN1 = #PER1_2 ~CLK_IN1;
|
||||
end
|
||||
|
||||
// Test sequence
|
||||
reg [15*8-1:0] test_phase = "";
|
||||
initial begin
|
||||
// Set up any display statements using time to be readable
|
||||
$timeformat(-12, 2, "ps", 10);
|
||||
COUNTER_RESET = 0;
|
||||
test_phase = "wait lock";
|
||||
`wait_lock;
|
||||
#(PER1*6);
|
||||
COUNTER_RESET = 1;
|
||||
#(PER1*20)
|
||||
COUNTER_RESET = 0;
|
||||
|
||||
test_phase = "counting";
|
||||
#(PER1*COUNT_PHASE);
|
||||
|
||||
$display("SIMULATION PASSED");
|
||||
$display("SYSTEM_CLOCK_COUNTER : %0d\n",$time/PER1);
|
||||
$finish;
|
||||
end
|
||||
|
||||
// Instantiation of the example design containing the clock
|
||||
// network and sampling counters
|
||||
//---------------------------------------------------------
|
||||
hdmi_clk_exdes
|
||||
#(
|
||||
.TCQ (TCQ)
|
||||
) dut
|
||||
(// Clock in ports
|
||||
.CLK_IN1 (CLK_IN1),
|
||||
// Reset for logic in example design
|
||||
.COUNTER_RESET (COUNTER_RESET),
|
||||
.CLK_OUT (CLK_OUT),
|
||||
// High bits of the counters
|
||||
.COUNT (COUNT));
|
||||
|
||||
// Freq Check
|
||||
|
||||
endmodule
|
136
ipcore_dir/hdmi_clk/simulation/timing/hdmi_clk_tb.v
Executable file
136
ipcore_dir/hdmi_clk/simulation/timing/hdmi_clk_tb.v
Executable file
|
@ -0,0 +1,136 @@
|
|||
// file: hdmi_clk_tb.v
|
||||
//
|
||||
// (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
//
|
||||
// This file contains confidential and proprietary information
|
||||
// of Xilinx, Inc. and is protected under U.S. and
|
||||
// international copyright and other intellectual property
|
||||
// laws.
|
||||
//
|
||||
// DISCLAIMER
|
||||
// This disclaimer is not a license and does not grant any
|
||||
// rights to the materials distributed herewith. Except as
|
||||
// otherwise provided in a valid license issued to you by
|
||||
// Xilinx, and to the maximum extent permitted by applicable
|
||||
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
// (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
// including negligence, or under any other theory of
|
||||
// liability) for any loss or damage of any kind or nature
|
||||
// related to, arising under or in connection with these
|
||||
// materials, including for any direct, or any indirect,
|
||||
// special, incidental, or consequential loss or damage
|
||||
// (including loss of data, profits, goodwill, or any type of
|
||||
// loss or damage suffered as a result of any action brought
|
||||
// by a third party) even if such damage or loss was
|
||||
// reasonably foreseeable or Xilinx had been advised of the
|
||||
// possibility of the same.
|
||||
//
|
||||
// CRITICAL APPLICATIONS
|
||||
// Xilinx products are not designed or intended to be fail-
|
||||
// safe, or for use in any application requiring fail-safe
|
||||
// performance, such as life-support or safety devices or
|
||||
// systems, Class III medical devices, nuclear facilities,
|
||||
// applications related to the deployment of airbags, or any
|
||||
// other applications that could lead to death, personal
|
||||
// injury, or severe property or environmental damage
|
||||
// (individually and collectively, "Critical
|
||||
// Applications"). Customer assumes the sole risk and
|
||||
// liability of any use of Xilinx products in Critical
|
||||
// Applications, subject only to applicable laws and
|
||||
// regulations governing limitations on product liability.
|
||||
//
|
||||
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
// PART OF THIS FILE AT ALL TIMES.
|
||||
//
|
||||
|
||||
//----------------------------------------------------------------------------
|
||||
// Clocking wizard demonstration testbench
|
||||
//----------------------------------------------------------------------------
|
||||
// This demonstration testbench instantiates the example design for the
|
||||
// clocking wizard. Input clocks are toggled, which cause the clocking
|
||||
// network to lock and the counters to increment.
|
||||
//----------------------------------------------------------------------------
|
||||
|
||||
`timescale 1ps/1ps
|
||||
|
||||
|
||||
module hdmi_clk_tb ();
|
||||
|
||||
// Clock to Q delay of 100ps
|
||||
localparam TCQ = 100;
|
||||
|
||||
|
||||
// timescale is 1ps/1ps
|
||||
localparam ONE_NS = 1000;
|
||||
localparam PHASE_ERR_MARGIN = 100; // 100ps
|
||||
// how many cycles to run
|
||||
localparam COUNT_PHASE = 1024;
|
||||
// we'll be using the period in many locations
|
||||
localparam time PER1 = 20.000*ONE_NS;
|
||||
localparam time PER1_1 = PER1/2;
|
||||
localparam time PER1_2 = PER1 - PER1/2;
|
||||
|
||||
// Declare the input clock signals
|
||||
reg CLK_IN1 = 1;
|
||||
|
||||
// The high bits of the sampling counters
|
||||
wire [2:1] COUNT;
|
||||
reg COUNTER_RESET = 0;
|
||||
wire [2:1] CLK_OUT;
|
||||
//Freq Check using the M & D values setting and actual Frequency generated
|
||||
|
||||
reg [13:0] timeout_counter = 14'b00000000000000;
|
||||
|
||||
// Input clock generation
|
||||
//------------------------------------
|
||||
always begin
|
||||
CLK_IN1 = #PER1_1 ~CLK_IN1;
|
||||
CLK_IN1 = #PER1_2 ~CLK_IN1;
|
||||
end
|
||||
|
||||
// Test sequence
|
||||
reg [15*8-1:0] test_phase = "";
|
||||
initial begin
|
||||
// Set up any display statements using time to be readable
|
||||
$timeformat(-12, 2, "ps", 10);
|
||||
$display ("Timing checks are not valid");
|
||||
COUNTER_RESET = 0;
|
||||
test_phase = "wait lock";
|
||||
#(PER1*50);
|
||||
#(PER1*6);
|
||||
COUNTER_RESET = 1;
|
||||
#(PER1*19.5)
|
||||
COUNTER_RESET = 0;
|
||||
#(PER1*1)
|
||||
$display ("Timing checks are valid");
|
||||
test_phase = "counting";
|
||||
#(PER1*COUNT_PHASE);
|
||||
|
||||
$display("SIMULATION PASSED");
|
||||
$display("SYSTEM_CLOCK_COUNTER : %0d\n",$time/PER1);
|
||||
$finish;
|
||||
end
|
||||
|
||||
|
||||
|
||||
// Instantiation of the example design containing the clock
|
||||
// network and sampling counters
|
||||
//---------------------------------------------------------
|
||||
hdmi_clk_exdes
|
||||
dut
|
||||
(// Clock in ports
|
||||
.CLK_IN1 (CLK_IN1),
|
||||
// Reset for logic in example design
|
||||
.COUNTER_RESET (COUNTER_RESET),
|
||||
.CLK_OUT (CLK_OUT),
|
||||
// High bits of the counters
|
||||
.COUNT (COUNT));
|
||||
|
||||
|
||||
// Freq Check
|
||||
|
||||
endmodule
|
2
ipcore_dir/hdmi_clk/simulation/timing/sdf_cmd_file
Executable file
2
ipcore_dir/hdmi_clk/simulation/timing/sdf_cmd_file
Executable file
|
@ -0,0 +1,2 @@
|
|||
COMPILED_SDF_FILE = "../../implement/results/routed.sdf.X",
|
||||
SCOPE = hdmi_clk_tb.dut;
|
9
ipcore_dir/hdmi_clk/simulation/timing/simcmds.tcl
Executable file
9
ipcore_dir/hdmi_clk/simulation/timing/simcmds.tcl
Executable file
|
@ -0,0 +1,9 @@
|
|||
# file: simcmds.tcl
|
||||
|
||||
# create the simulation script
|
||||
vcd dumpfile isim.vcd
|
||||
vcd dumpvars -m /hdmi_clk_tb -l 0
|
||||
wave add /
|
||||
run 50000ns
|
||||
quit
|
||||
|
62
ipcore_dir/hdmi_clk/simulation/timing/simulate_isim.sh
Executable file
62
ipcore_dir/hdmi_clk/simulation/timing/simulate_isim.sh
Executable file
|
@ -0,0 +1,62 @@
|
|||
# file: simulate_isim.sh
|
||||
#
|
||||
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
#
|
||||
# This file contains confidential and proprietary information
|
||||
# of Xilinx, Inc. and is protected under U.S. and
|
||||
# international copyright and other intellectual property
|
||||
# laws.
|
||||
#
|
||||
# DISCLAIMER
|
||||
# This disclaimer is not a license and does not grant any
|
||||
# rights to the materials distributed herewith. Except as
|
||||
# otherwise provided in a valid license issued to you by
|
||||
# Xilinx, and to the maximum extent permitted by applicable
|
||||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
# (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
# including negligence, or under any other theory of
|
||||
# liability) for any loss or damage of any kind or nature
|
||||
# related to, arising under or in connection with these
|
||||
# materials, including for any direct, or any indirect,
|
||||
# special, incidental, or consequential loss or damage
|
||||
# (including loss of data, profits, goodwill, or any type of
|
||||
# loss or damage suffered as a result of any action brought
|
||||
# by a third party) even if such damage or loss was
|
||||
# reasonably foreseeable or Xilinx had been advised of the
|
||||
# possibility of the same.
|
||||
#
|
||||
# CRITICAL APPLICATIONS
|
||||
# Xilinx products are not designed or intended to be fail-
|
||||
# safe, or for use in any application requiring fail-safe
|
||||
# performance, such as life-support or safety devices or
|
||||
# systems, Class III medical devices, nuclear facilities,
|
||||
# applications related to the deployment of airbags, or any
|
||||
# other applications that could lead to death, personal
|
||||
# injury, or severe property or environmental damage
|
||||
# (individually and collectively, "Critical
|
||||
# Applications"). Customer assumes the sole risk and
|
||||
# liability of any use of Xilinx products in Critical
|
||||
# Applications, subject only to applicable laws and
|
||||
# regulations governing limitations on product liability.
|
||||
#
|
||||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
# PART OF THIS FILE AT ALL TIMES.
|
||||
#
|
||||
|
||||
# create the project
|
||||
vlogcomp -work work ${XILINX}/verilog/src/glbl.v
|
||||
vlogcomp -work work ../../implement/results/routed.v
|
||||
vlogcomp -work work hdmi_clk_tb.v
|
||||
|
||||
# compile the project
|
||||
fuse work.hdmi_clk_tb work.glbl -L secureip -L simprims_ver -o hdmi_clk_isim.exe
|
||||
|
||||
# run the simulation script
|
||||
./hdmi_clk_isim.exe -tclbatch simcmds.tcl -sdfmax /hdmi_clk_tb/dut=../../implement/results/routed.sdf
|
||||
|
||||
# run the simulation script
|
||||
#./hdmi_clk_isim.exe -gui -tclbatch simcmds.tcl
|
59
ipcore_dir/hdmi_clk/simulation/timing/simulate_mti.bat
Executable file
59
ipcore_dir/hdmi_clk/simulation/timing/simulate_mti.bat
Executable file
|
@ -0,0 +1,59 @@
|
|||
REM file: simulate_mti.bat
|
||||
REM
|
||||
REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
REM
|
||||
REM This file contains confidential and proprietary information
|
||||
REM of Xilinx, Inc. and is protected under U.S. and
|
||||
REM international copyright and other intellectual property
|
||||
REM laws.
|
||||
REM
|
||||
REM DISCLAIMER
|
||||
REM This disclaimer is not a license and does not grant any
|
||||
REM rights to the materials distributed herewith. Except as
|
||||
REM otherwise provided in a valid license issued to you by
|
||||
REM Xilinx, and to the maximum extent permitted by applicable
|
||||
REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
REM (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
REM including negligence, or under any other theory of
|
||||
REM liability) for any loss or damage of any kind or nature
|
||||
REM related to, arising under or in connection with these
|
||||
REM materials, including for any direct, or any indirect,
|
||||
REM special, incidental, or consequential loss or damage
|
||||
REM (including loss of data, profits, goodwill, or any type of
|
||||
REM loss or damage suffered as a result of any action brought
|
||||
REM by a third party) even if such damage or loss was
|
||||
REM reasonably foreseeable or Xilinx had been advised of the
|
||||
REM possibility of the same.
|
||||
REM
|
||||
REM CRITICAL APPLICATIONS
|
||||
REM Xilinx products are not designed or intended to be fail-
|
||||
REM safe, or for use in any application requiring fail-safe
|
||||
REM performance, such as life-support or safety devices or
|
||||
REM systems, Class III medical devices, nuclear facilities,
|
||||
REM applications related to the deployment of airbags, or any
|
||||
REM other applications that could lead to death, personal
|
||||
REM injury, or severe property or environmental damage
|
||||
REM (individually and collectively, "Critical
|
||||
REM Applications"). Customer assumes the sole risk and
|
||||
REM liability of any use of Xilinx products in Critical
|
||||
REM Applications, subject only to applicable laws and
|
||||
REM regulations governing limitations on product liability.
|
||||
REM
|
||||
REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
REM PART OF THIS FILE AT ALL TIMES.
|
||||
REM
|
||||
# set up the working directory
|
||||
set work work
|
||||
vlib work
|
||||
|
||||
REM compile all of the files
|
||||
vlog -work work %XILINX%\verilog\src\glbl.v
|
||||
vlog -work work ..\..\implement\results\routed.v
|
||||
vlog -work work hdmi_clk_tb.v
|
||||
|
||||
REM run the simulation
|
||||
vsim -c -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax hdmi_clk_tb\dut=..\..\implement\results\routed.sdf +no_notifier work.hdmi_clk_tb work.glbl
|
65
ipcore_dir/hdmi_clk/simulation/timing/simulate_mti.do
Executable file
65
ipcore_dir/hdmi_clk/simulation/timing/simulate_mti.do
Executable file
|
@ -0,0 +1,65 @@
|
|||
# file: simulate_mti.do
|
||||
#
|
||||
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
#
|
||||
# This file contains confidential and proprietary information
|
||||
# of Xilinx, Inc. and is protected under U.S. and
|
||||
# international copyright and other intellectual property
|
||||
# laws.
|
||||
#
|
||||
# DISCLAIMER
|
||||
# This disclaimer is not a license and does not grant any
|
||||
# rights to the materials distributed herewith. Except as
|
||||
# otherwise provided in a valid license issued to you by
|
||||
# Xilinx, and to the maximum extent permitted by applicable
|
||||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
# (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
# including negligence, or under any other theory of
|
||||
# liability) for any loss or damage of any kind or nature
|
||||
# related to, arising under or in connection with these
|
||||
# materials, including for any direct, or any indirect,
|
||||
# special, incidental, or consequential loss or damage
|
||||
# (including loss of data, profits, goodwill, or any type of
|
||||
# loss or damage suffered as a result of any action brought
|
||||
# by a third party) even if such damage or loss was
|
||||
# reasonably foreseeable or Xilinx had been advised of the
|
||||
# possibility of the same.
|
||||
#
|
||||
# CRITICAL APPLICATIONS
|
||||
# Xilinx products are not designed or intended to be fail-
|
||||
# safe, or for use in any application requiring fail-safe
|
||||
# performance, such as life-support or safety devices or
|
||||
# systems, Class III medical devices, nuclear facilities,
|
||||
# applications related to the deployment of airbags, or any
|
||||
# other applications that could lead to death, personal
|
||||
# injury, or severe property or environmental damage
|
||||
# (individually and collectively, "Critical
|
||||
# Applications"). Customer assumes the sole risk and
|
||||
# liability of any use of Xilinx products in Critical
|
||||
# Applications, subject only to applicable laws and
|
||||
# regulations governing limitations on product liability.
|
||||
#
|
||||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
# PART OF THIS FILE AT ALL TIMES.
|
||||
#
|
||||
|
||||
# set up the working directory
|
||||
set work work
|
||||
vlib work
|
||||
|
||||
# compile all of the files
|
||||
vlog -work work $env(XILINX)/verilog/src/glbl.v
|
||||
vlog -work work ../../implement/results/routed.v
|
||||
vlog -work work hdmi_clk_tb.v
|
||||
|
||||
# run the simulation
|
||||
vsim -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax hdmi_clk_tb/dut=../../implement/results/routed.sdf +no_notifier work.hdmi_clk_tb work.glbl
|
||||
#do wave.do
|
||||
#log -r /*
|
||||
run 50000ns
|
||||
|
||||
|
61
ipcore_dir/hdmi_clk/simulation/timing/simulate_mti.sh
Executable file
61
ipcore_dir/hdmi_clk/simulation/timing/simulate_mti.sh
Executable file
|
@ -0,0 +1,61 @@
|
|||
#/bin/sh
|
||||
# file: simulate_mti.sh
|
||||
#
|
||||
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
#
|
||||
# This file contains confidential and proprietary information
|
||||
# of Xilinx, Inc. and is protected under U.S. and
|
||||
# international copyright and other intellectual property
|
||||
# laws.
|
||||
#
|
||||
# DISCLAIMER
|
||||
# This disclaimer is not a license and does not grant any
|
||||
# rights to the materials distributed herewith. Except as
|
||||
# otherwise provided in a valid license issued to you by
|
||||
# Xilinx, and to the maximum extent permitted by applicable
|
||||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
# (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
# including negligence, or under any other theory of
|
||||
# liability) for any loss or damage of any kind or nature
|
||||
# related to, arising under or in connection with these
|
||||
# materials, including for any direct, or any indirect,
|
||||
# special, incidental, or consequential loss or damage
|
||||
# (including loss of data, profits, goodwill, or any type of
|
||||
# loss or damage suffered as a result of any action brought
|
||||
# by a third party) even if such damage or loss was
|
||||
# reasonably foreseeable or Xilinx had been advised of the
|
||||
# possibility of the same.
|
||||
#
|
||||
# CRITICAL APPLICATIONS
|
||||
# Xilinx products are not designed or intended to be fail-
|
||||
# safe, or for use in any application requiring fail-safe
|
||||
# performance, such as life-support or safety devices or
|
||||
# systems, Class III medical devices, nuclear facilities,
|
||||
# applications related to the deployment of airbags, or any
|
||||
# other applications that could lead to death, personal
|
||||
# injury, or severe property or environmental damage
|
||||
# (individually and collectively, "Critical
|
||||
# Applications"). Customer assumes the sole risk and
|
||||
# liability of any use of Xilinx products in Critical
|
||||
# Applications, subject only to applicable laws and
|
||||
# regulations governing limitations on product liability.
|
||||
#
|
||||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
# PART OF THIS FILE AT ALL TIMES.
|
||||
#
|
||||
|
||||
# set up the working directory
|
||||
set work work
|
||||
vlib work
|
||||
|
||||
# compile all of the files
|
||||
vlog -work work $XILINX/verilog/src/glbl.v
|
||||
vlog -work work ../../implement/results/routed.v
|
||||
vlog -work work hdmi_clk_tb.v
|
||||
|
||||
# run the simulation
|
||||
vsim -c -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax hdmi_clk_tb/dut=../../implement/results/routed.sdf +no_notifier work.hdmi_clk_tb work.glbl
|
64
ipcore_dir/hdmi_clk/simulation/timing/simulate_ncsim.sh
Executable file
64
ipcore_dir/hdmi_clk/simulation/timing/simulate_ncsim.sh
Executable file
|
@ -0,0 +1,64 @@
|
|||
#!/bin/sh
|
||||
# file: simulate_ncsim.sh
|
||||
#
|
||||
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
#
|
||||
# This file contains confidential and proprietary information
|
||||
# of Xilinx, Inc. and is protected under U.S. and
|
||||
# international copyright and other intellectual property
|
||||
# laws.
|
||||
#
|
||||
# DISCLAIMER
|
||||
# This disclaimer is not a license and does not grant any
|
||||
# rights to the materials distributed herewith. Except as
|
||||
# otherwise provided in a valid license issued to you by
|
||||
# Xilinx, and to the maximum extent permitted by applicable
|
||||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
# (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
# including negligence, or under any other theory of
|
||||
# liability) for any loss or damage of any kind or nature
|
||||
# related to, arising under or in connection with these
|
||||
# materials, including for any direct, or any indirect,
|
||||
# special, incidental, or consequential loss or damage
|
||||
# (including loss of data, profits, goodwill, or any type of
|
||||
# loss or damage suffered as a result of any action brought
|
||||
# by a third party) even if such damage or loss was
|
||||
# reasonably foreseeable or Xilinx had been advised of the
|
||||
# possibility of the same.
|
||||
#
|
||||
# CRITICAL APPLICATIONS
|
||||
# Xilinx products are not designed or intended to be fail-
|
||||
# safe, or for use in any application requiring fail-safe
|
||||
# performance, such as life-support or safety devices or
|
||||
# systems, Class III medical devices, nuclear facilities,
|
||||
# applications related to the deployment of airbags, or any
|
||||
# other applications that could lead to death, personal
|
||||
# injury, or severe property or environmental damage
|
||||
# (individually and collectively, "Critical
|
||||
# Applications"). Customer assumes the sole risk and
|
||||
# liability of any use of Xilinx products in Critical
|
||||
# Applications, subject only to applicable laws and
|
||||
# regulations governing limitations on product liability.
|
||||
#
|
||||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
# PART OF THIS FILE AT ALL TIMES.
|
||||
#
|
||||
|
||||
# set up the working directory
|
||||
mkdir work
|
||||
|
||||
# compile all of the files
|
||||
ncvlog -work work ${XILINX}/verilog/src/glbl.v
|
||||
ncvlog -work work ../../implement/results/routed.v
|
||||
ncvlog -work work hdmi_clk_tb.v
|
||||
|
||||
# elaborate and run the simulation
|
||||
ncsdfc ../../implement/results/routed.sdf
|
||||
|
||||
ncelab -work work -access +wc -pulse_r 10 -nonotifier work.hdmi_clk_tb work.glbl -sdf_cmd_file sdf_cmd_file
|
||||
ncsim -input "@database -open -shm nc; probe -create -database nc -all -depth all; run 50000ns; exit" work.hdmi_clk_tb
|
||||
|
72
ipcore_dir/hdmi_clk/simulation/timing/simulate_vcs.sh
Executable file
72
ipcore_dir/hdmi_clk/simulation/timing/simulate_vcs.sh
Executable file
|
@ -0,0 +1,72 @@
|
|||
#!/bin/sh
|
||||
# file: simulate_vcs.sh
|
||||
#
|
||||
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
#
|
||||
# This file contains confidential and proprietary information
|
||||
# of Xilinx, Inc. and is protected under U.S. and
|
||||
# international copyright and other intellectual property
|
||||
# laws.
|
||||
#
|
||||
# DISCLAIMER
|
||||
# This disclaimer is not a license and does not grant any
|
||||
# rights to the materials distributed herewith. Except as
|
||||
# otherwise provided in a valid license issued to you by
|
||||
# Xilinx, and to the maximum extent permitted by applicable
|
||||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
# (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
# including negligence, or under any other theory of
|
||||
# liability) for any loss or damage of any kind or nature
|
||||
# related to, arising under or in connection with these
|
||||
# materials, including for any direct, or any indirect,
|
||||
# special, incidental, or consequential loss or damage
|
||||
# (including loss of data, profits, goodwill, or any type of
|
||||
# loss or damage suffered as a result of any action brought
|
||||
# by a third party) even if such damage or loss was
|
||||
# reasonably foreseeable or Xilinx had been advised of the
|
||||
# possibility of the same.
|
||||
#
|
||||
# CRITICAL APPLICATIONS
|
||||
# Xilinx products are not designed or intended to be fail-
|
||||
# safe, or for use in any application requiring fail-safe
|
||||
# performance, such as life-support or safety devices or
|
||||
# systems, Class III medical devices, nuclear facilities,
|
||||
# applications related to the deployment of airbags, or any
|
||||
# other applications that could lead to death, personal
|
||||
# injury, or severe property or environmental damage
|
||||
# (individually and collectively, "Critical
|
||||
# Applications"). Customer assumes the sole risk and
|
||||
# liability of any use of Xilinx products in Critical
|
||||
# Applications, subject only to applicable laws and
|
||||
# regulations governing limitations on product liability.
|
||||
#
|
||||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
# PART OF THIS FILE AT ALL TIMES.
|
||||
#
|
||||
|
||||
# remove old files
|
||||
rm -rf simv* csrc DVEfiles AN.DB
|
||||
|
||||
# compile all of the files
|
||||
# Note that -sverilog is not strictly required- You can
|
||||
# remove the -sverilog if you change the type of the
|
||||
# localparam for the periods in the testbench file to
|
||||
# [63:0] from time
|
||||
vlogan -sverilog \
|
||||
hdmi_clk_tb.v \
|
||||
../../implement/results/routed.v
|
||||
|
||||
|
||||
# prepare the simulation
|
||||
vcs -sdf max:hdmi_clk_exdes:../../implement/results/routed.sdf +v2k -y $XILINX/verilog/src/simprims \
|
||||
+libext+.v -debug hdmi_clk_tb.v ../../implement/results/routed.v
|
||||
|
||||
# run the simulation
|
||||
./simv -ucli -i ucli_commands.key
|
||||
|
||||
# launch the viewer
|
||||
#dve -vpd vcdplus.vpd -session vcs_session.tcl
|
5
ipcore_dir/hdmi_clk/simulation/timing/ucli_commands.key
Executable file
5
ipcore_dir/hdmi_clk/simulation/timing/ucli_commands.key
Executable file
|
@ -0,0 +1,5 @@
|
|||
|
||||
call {$vcdpluson}
|
||||
run 50000ns
|
||||
call {$vcdplusclose}
|
||||
quit
|
1
ipcore_dir/hdmi_clk/simulation/timing/vcs_session.tcl
Executable file
1
ipcore_dir/hdmi_clk/simulation/timing/vcs_session.tcl
Executable file
|
@ -0,0 +1 @@
|
|||
gui_open_window Wave
|
70
ipcore_dir/hdmi_clk/simulation/timing/wave.do
Executable file
70
ipcore_dir/hdmi_clk/simulation/timing/wave.do
Executable file
|
@ -0,0 +1,70 @@
|
|||
# file: wave.do
|
||||
#
|
||||
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
|
||||
#
|
||||
# This file contains confidential and proprietary information
|
||||
# of Xilinx, Inc. and is protected under U.S. and
|
||||
# international copyright and other intellectual property
|
||||
# laws.
|
||||
#
|
||||
# DISCLAIMER
|
||||
# This disclaimer is not a license and does not grant any
|
||||
# rights to the materials distributed herewith. Except as
|
||||
# otherwise provided in a valid license issued to you by
|
||||
# Xilinx, and to the maximum extent permitted by applicable
|
||||
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
# (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
# including negligence, or under any other theory of
|
||||
# liability) for any loss or damage of any kind or nature
|
||||
# related to, arising under or in connection with these
|
||||
# materials, including for any direct, or any indirect,
|
||||
# special, incidental, or consequential loss or damage
|
||||
# (including loss of data, profits, goodwill, or any type of
|
||||
# loss or damage suffered as a result of any action brought
|
||||
# by a third party) even if such damage or loss was
|
||||
# reasonably foreseeable or Xilinx had been advised of the
|
||||
# possibility of the same.
|
||||
#
|
||||
# CRITICAL APPLICATIONS
|
||||
# Xilinx products are not designed or intended to be fail-
|
||||
# safe, or for use in any application requiring fail-safe
|
||||
# performance, such as life-support or safety devices or
|
||||
# systems, Class III medical devices, nuclear facilities,
|
||||
# applications related to the deployment of airbags, or any
|
||||
# other applications that could lead to death, personal
|
||||
# injury, or severe property or environmental damage
|
||||
# (individually and collectively, "Critical
|
||||
# Applications"). Customer assumes the sole risk and
|
||||
# liability of any use of Xilinx products in Critical
|
||||
# Applications, subject only to applicable laws and
|
||||
# regulations governing limitations on product liability.
|
||||
#
|
||||
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
# PART OF THIS FILE AT ALL TIMES.
|
||||
#
|
||||
|
||||
onerror {resume}
|
||||
quietly WaveActivateNextPane {} 0
|
||||
add wave -noupdate /hdmi_clk_tb/CLK_IN1
|
||||
add wave -noupdate /hdmi_clk_tb/COUNT
|
||||
TreeUpdate [SetDefaultTree]
|
||||
WaveRestoreCursors {{Cursor 1} {3223025 ps} 0}
|
||||
configure wave -namecolwidth 238
|
||||
configure wave -valuecolwidth 107
|
||||
configure wave -justifyvalue left
|
||||
configure wave -signalnamewidth 0
|
||||
configure wave -snapdistance 10
|
||||
configure wave -datasetprefix 0
|
||||
configure wave -rowmargin 4
|
||||
configure wave -childrowmargin 2
|
||||
configure wave -gridoffset 0
|
||||
configure wave -gridperiod 1
|
||||
configure wave -griddelta 40
|
||||
configure wave -timeline 0
|
||||
configure wave -timelineunits ps
|
||||
update
|
||||
WaveRestoreZoom {0 ps} {74848022 ps}
|
55
ipcore_dir/hdmi_clk_flist.txt
Normal file
55
ipcore_dir/hdmi_clk_flist.txt
Normal file
|
@ -0,0 +1,55 @@
|
|||
# Output products list for <hdmi_clk>
|
||||
_xmsgs/pn_parser.xmsgs
|
||||
hdmi_clk/clk_wiz_v3_6_readme.txt
|
||||
hdmi_clk/doc/clk_wiz_v3_6_readme.txt
|
||||
hdmi_clk/doc/clk_wiz_v3_6_vinfo.html
|
||||
hdmi_clk/doc/pg065_clk_wiz.pdf
|
||||
hdmi_clk/example_design/hdmi_clk_exdes.ucf
|
||||
hdmi_clk/example_design/hdmi_clk_exdes.v
|
||||
hdmi_clk/example_design/hdmi_clk_exdes.xdc
|
||||
hdmi_clk/implement/implement.bat
|
||||
hdmi_clk/implement/implement.sh
|
||||
hdmi_clk/implement/planAhead_ise.bat
|
||||
hdmi_clk/implement/planAhead_ise.sh
|
||||
hdmi_clk/implement/planAhead_ise.tcl
|
||||
hdmi_clk/implement/planAhead_rdn.bat
|
||||
hdmi_clk/implement/planAhead_rdn.sh
|
||||
hdmi_clk/implement/planAhead_rdn.tcl
|
||||
hdmi_clk/implement/xst.prj
|
||||
hdmi_clk/implement/xst.scr
|
||||
hdmi_clk/simulation/functional/simcmds.tcl
|
||||
hdmi_clk/simulation/functional/simulate_isim.bat
|
||||
hdmi_clk/simulation/functional/simulate_isim.sh
|
||||
hdmi_clk/simulation/functional/simulate_mti.bat
|
||||
hdmi_clk/simulation/functional/simulate_mti.do
|
||||
hdmi_clk/simulation/functional/simulate_mti.sh
|
||||
hdmi_clk/simulation/functional/simulate_ncsim.sh
|
||||
hdmi_clk/simulation/functional/simulate_vcs.sh
|
||||
hdmi_clk/simulation/functional/ucli_commands.key
|
||||
hdmi_clk/simulation/functional/vcs_session.tcl
|
||||
hdmi_clk/simulation/functional/wave.do
|
||||
hdmi_clk/simulation/functional/wave.sv
|
||||
hdmi_clk/simulation/hdmi_clk_tb.v
|
||||
hdmi_clk/simulation/timing/hdmi_clk_tb.v
|
||||
hdmi_clk/simulation/timing/sdf_cmd_file
|
||||
hdmi_clk/simulation/timing/simcmds.tcl
|
||||
hdmi_clk/simulation/timing/simulate_isim.sh
|
||||
hdmi_clk/simulation/timing/simulate_mti.bat
|
||||
hdmi_clk/simulation/timing/simulate_mti.do
|
||||
hdmi_clk/simulation/timing/simulate_mti.sh
|
||||
hdmi_clk/simulation/timing/simulate_ncsim.sh
|
||||
hdmi_clk/simulation/timing/simulate_vcs.sh
|
||||
hdmi_clk/simulation/timing/ucli_commands.key
|
||||
hdmi_clk/simulation/timing/vcs_session.tcl
|
||||
hdmi_clk/simulation/timing/wave.do
|
||||
hdmi_clk.asy
|
||||
hdmi_clk.gise
|
||||
hdmi_clk.sym
|
||||
hdmi_clk.ucf
|
||||
hdmi_clk.v
|
||||
hdmi_clk.veo
|
||||
hdmi_clk.xco
|
||||
hdmi_clk.xdc
|
||||
hdmi_clk.xise
|
||||
hdmi_clk_flist.txt
|
||||
hdmi_clk_xmdf.tcl
|
140
ipcore_dir/hdmi_clk_xmdf.tcl
Executable file
140
ipcore_dir/hdmi_clk_xmdf.tcl
Executable file
|
@ -0,0 +1,140 @@
|
|||
# The package naming convention is <core_name>_xmdf
|
||||
package provide hdmi_clk_xmdf 1.0
|
||||
|
||||
# This includes some utilities that support common XMDF operations
|
||||
package require utilities_xmdf
|
||||
|
||||
# Define a namespace for this package. The name of the name space
|
||||
# is <core_name>_xmdf
|
||||
namespace eval ::hdmi_clk_xmdf {
|
||||
# Use this to define any statics
|
||||
}
|
||||
|
||||
# Function called by client to rebuild the params and port arrays
|
||||
# Optional when the use context does not require the param or ports
|
||||
# arrays to be available.
|
||||
proc ::hdmi_clk_xmdf::xmdfInit { instance } {
|
||||
# Variable containg name of library into which module is compiled
|
||||
# Recommendation: <module_name>
|
||||
# Required
|
||||
utilities_xmdf::xmdfSetData $instance Module Attributes Name hdmi_clk
|
||||
}
|
||||
# ::hdmi_clk_xmdf::xmdfInit
|
||||
|
||||
# Function called by client to fill in all the xmdf* data variables
|
||||
# based on the current settings of the parameters
|
||||
proc ::hdmi_clk_xmdf::xmdfApplyParams { instance } {
|
||||
|
||||
set fcount 0
|
||||
# Array containing libraries that are assumed to exist
|
||||
# Examples include unisim and xilinxcorelib
|
||||
# Optional
|
||||
# In this example, we assume that the unisim library will
|
||||
# be magically
|
||||
# available to the simulation and synthesis tool
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim
|
||||
incr fcount
|
||||
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/clk_wiz_readme.txt
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
|
||||
incr fcount
|
||||
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/doc/clk_wiz_ds709.pdf
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
|
||||
incr fcount
|
||||
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/doc/clk_wiz_gsg521.pdf
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
|
||||
incr fcount
|
||||
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/implement/implement.bat
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
|
||||
incr fcount
|
||||
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/implement/implement.sh
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
|
||||
incr fcount
|
||||
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/implement/xst.prj
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
|
||||
incr fcount
|
||||
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/implement/xst.scr
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
|
||||
incr fcount
|
||||
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/simulation/hdmi_clk_tb.v
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
|
||||
incr fcount
|
||||
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/simulation/functional/simcmds.tcl
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
|
||||
incr fcount
|
||||
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/simulation/functional/simulate_isim.sh
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
|
||||
incr fcount
|
||||
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/simulation/functional/simulate_mti.do
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
|
||||
incr fcount
|
||||
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/simulation/functional/simulate_ncsim.sh
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
|
||||
incr fcount
|
||||
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/simulation/functional/simulate_vcs.sh
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
|
||||
incr fcount
|
||||
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/simulation/functional/ucli_commands.key
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
|
||||
incr fcount
|
||||
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/simulation/functional/vcs_session.tcl
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
|
||||
incr fcount
|
||||
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/simulation/functional/wave.do
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
|
||||
incr fcount
|
||||
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk/simulation/functional/wave.sv
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore
|
||||
incr fcount
|
||||
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk.asy
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy
|
||||
incr fcount
|
||||
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk.ejp
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
|
||||
incr fcount
|
||||
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk.ucf
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount type ucf
|
||||
incr fcount
|
||||
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk.v
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog
|
||||
incr fcount
|
||||
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk.veo
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template
|
||||
incr fcount
|
||||
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk.xco
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip
|
||||
incr fcount
|
||||
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path hdmi_clk_xmdf.tcl
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView
|
||||
incr fcount
|
||||
|
||||
utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module hdmi_clk
|
||||
incr fcount
|
||||
|
||||
}
|
||||
|
||||
# ::gen_comp_name_xmdf::xmdfApplyParams
|
BIN
ipcore_dir/mb_bootloop_le.elf
Normal file
BIN
ipcore_dir/mb_bootloop_le.elf
Normal file
Binary file not shown.
45
ipcore_dir/microblaze_mcs.asy
Normal file
45
ipcore_dir/microblaze_mcs.asy
Normal file
|
@ -0,0 +1,45 @@
|
|||
Version 4
|
||||
SymbolType BLOCK
|
||||
TEXT 32 32 LEFT 4 microblaze_mcs
|
||||
RECTANGLE Normal 32 32 736 1728
|
||||
LINE Normal 0 80 32 80
|
||||
PIN 0 80 LEFT 36
|
||||
PINATTR PinName clk
|
||||
PINATTR Polarity IN
|
||||
LINE Normal 0 112 32 112
|
||||
PIN 0 112 LEFT 36
|
||||
PINATTR PinName reset
|
||||
PINATTR Polarity IN
|
||||
LINE Normal 768 656 736 656
|
||||
PIN 768 656 RIGHT 36
|
||||
PINATTR PinName gpi2_interrupt
|
||||
PINATTR Polarity OUT
|
||||
LINE Wide 0 304 32 304
|
||||
PIN 0 304 LEFT 36
|
||||
PINATTR PinName gpi1[7:0]
|
||||
PINATTR Polarity IN
|
||||
LINE Wide 0 336 32 336
|
||||
PIN 0 336 LEFT 36
|
||||
PINATTR PinName gpi2[0:0]
|
||||
PINATTR Polarity IN
|
||||
LINE Wide 0 368 32 368
|
||||
PIN 0 368 LEFT 36
|
||||
PINATTR PinName gpi3[1:0]
|
||||
PINATTR Polarity IN
|
||||
LINE Wide 768 784 736 784
|
||||
PIN 768 784 RIGHT 36
|
||||
PINATTR PinName gpo1[15:0]
|
||||
PINATTR Polarity OUT
|
||||
LINE Wide 768 816 736 816
|
||||
PIN 768 816 RIGHT 36
|
||||
PINATTR PinName gpo2[15:0]
|
||||
PINATTR Polarity OUT
|
||||
LINE Wide 768 848 736 848
|
||||
PIN 768 848 RIGHT 36
|
||||
PINATTR PinName gpo3[0:0]
|
||||
PINATTR Polarity OUT
|
||||
LINE Wide 768 880 736 880
|
||||
PIN 768 880 RIGHT 36
|
||||
PINATTR PinName gpo4[5:0]
|
||||
PINATTR Polarity OUT
|
||||
|
16
ipcore_dir/microblaze_mcs.bmm
Normal file
16
ipcore_dir/microblaze_mcs.bmm
Normal file
|
@ -0,0 +1,16 @@
|
|||
ADDRESS_MAP microblaze_mcs MICROBLAZE-LE 100
|
||||
ADDRESS_SPACE lmb_bram COMBINED [0x00000000:0x00003fff]
|
||||
ADDRESS_RANGE RAMB16
|
||||
BUS_BLOCK
|
||||
mcs_0/U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1 [31:28] INPUT = microblaze_mcs.lmb_bram_0.mem;
|
||||
mcs_0/U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1 [27:24] INPUT = microblaze_mcs.lmb_bram_1.mem;
|
||||
mcs_0/U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1 [23:20] INPUT = microblaze_mcs.lmb_bram_2.mem;
|
||||
mcs_0/U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1 [19:16] INPUT = microblaze_mcs.lmb_bram_3.mem;
|
||||
mcs_0/U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1 [15:12] INPUT = microblaze_mcs.lmb_bram_4.mem;
|
||||
mcs_0/U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1 [11:8] INPUT = microblaze_mcs.lmb_bram_5.mem;
|
||||
mcs_0/U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1 [7:4] INPUT = microblaze_mcs.lmb_bram_6.mem;
|
||||
mcs_0/U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1 [3:0] INPUT = microblaze_mcs.lmb_bram_7.mem;
|
||||
END_BUS_BLOCK;
|
||||
END_ADDRESS_RANGE;
|
||||
END_ADDRESS_SPACE;
|
||||
END_ADDRESS_MAP;
|
49
ipcore_dir/microblaze_mcs.gise
Normal file
49
ipcore_dir/microblaze_mcs.gise
Normal file
|
@ -0,0 +1,49 @@
|
|||
<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
|
||||
<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
|
||||
|
||||
<!-- -->
|
||||
|
||||
<!-- For tool use only. Do not edit. -->
|
||||
|
||||
<!-- -->
|
||||
|
||||
<!-- ProjectNavigator created generated project file. -->
|
||||
|
||||
<!-- For use in tracking generated file and other information -->
|
||||
|
||||
<!-- allowing preservation of process status. -->
|
||||
|
||||
<!-- -->
|
||||
|
||||
<!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
|
||||
|
||||
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
|
||||
|
||||
<sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="microblaze_mcs.xise"/>
|
||||
|
||||
<files xmlns="http://www.xilinx.com/XMLSchema"/>
|
||||
|
||||
<transforms xmlns="http://www.xilinx.com/XMLSchema">
|
||||
<transform xil_pn:end_ts="1599953511" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1599953511">
|
||||
<status xil_pn:value="SuccessfullyRun"/>
|
||||
<status xil_pn:value="ReadyToRun"/>
|
||||
</transform>
|
||||
<transform xil_pn:end_ts="1600550220" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="7354168592165971327" xil_pn:start_ts="1600550220">
|
||||
<status xil_pn:value="SuccessfullyRun"/>
|
||||
<status xil_pn:value="ReadyToRun"/>
|
||||
</transform>
|
||||
<transform xil_pn:end_ts="1600550220" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="-5659800160767749842" xil_pn:start_ts="1600550220">
|
||||
<status xil_pn:value="SuccessfullyRun"/>
|
||||
<status xil_pn:value="ReadyToRun"/>
|
||||
</transform>
|
||||
<transform xil_pn:end_ts="1600550220" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1600550220">
|
||||
<status xil_pn:value="SuccessfullyRun"/>
|
||||
<status xil_pn:value="ReadyToRun"/>
|
||||
</transform>
|
||||
<transform xil_pn:end_ts="1600550220" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="2694950640116957441" xil_pn:start_ts="1600550220">
|
||||
<status xil_pn:value="SuccessfullyRun"/>
|
||||
<status xil_pn:value="ReadyToRun"/>
|
||||
</transform>
|
||||
</transforms>
|
||||
|
||||
</generated_project>
|
0
ipcore_dir/microblaze_mcs.ncf
Normal file
0
ipcore_dir/microblaze_mcs.ncf
Normal file
3
ipcore_dir/microblaze_mcs.ngc
Normal file
3
ipcore_dir/microblaze_mcs.ngc
Normal file
File diff suppressed because one or more lines are too long
39
ipcore_dir/microblaze_mcs.sym
Normal file
39
ipcore_dir/microblaze_mcs.sym
Normal file
|
@ -0,0 +1,39 @@
|
|||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<symbol version="7" name="microblaze_mcs">
|
||||
<symboltype>BLOCK</symboltype>
|
||||
<timestamp>2020-9-19T21:31:27</timestamp>
|
||||
<pin polarity="Input" x="0" y="80" name="clk" />
|
||||
<pin polarity="Input" x="0" y="112" name="reset" />
|
||||
<pin polarity="Output" x="768" y="656" name="gpi2_interrupt" />
|
||||
<pin polarity="Input" x="0" y="304" name="gpi1[7:0]" />
|
||||
<pin polarity="Input" x="0" y="336" name="gpi2[0:0]" />
|
||||
<pin polarity="Input" x="0" y="368" name="gpi3[1:0]" />
|
||||
<pin polarity="Output" x="768" y="784" name="gpo1[15:0]" />
|
||||
<pin polarity="Output" x="768" y="816" name="gpo2[15:0]" />
|
||||
<pin polarity="Output" x="768" y="848" name="gpo3[0:0]" />
|
||||
<pin polarity="Output" x="768" y="880" name="gpo4[5:0]" />
|
||||
<graph>
|
||||
<text style="fontsize:40;fontname:Arial" x="32" y="32">microblaze_mcs</text>
|
||||
<rect width="704" x="32" y="32" height="1696" />
|
||||
<line x2="32" y1="80" y2="80" x1="0" />
|
||||
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="36" y="80" type="pin clk" />
|
||||
<line x2="32" y1="112" y2="112" x1="0" />
|
||||
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="36" y="112" type="pin reset" />
|
||||
<line x2="736" y1="656" y2="656" x1="768" />
|
||||
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="732" y="656" type="pin gpi2_interrupt" />
|
||||
<line x2="32" y1="304" y2="304" style="linewidth:W" x1="0" />
|
||||
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="36" y="304" type="pin gpi1[7:0]" />
|
||||
<line x2="32" y1="336" y2="336" style="linewidth:W" x1="0" />
|
||||
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="36" y="336" type="pin gpi2[0:0]" />
|
||||
<line x2="32" y1="368" y2="368" style="linewidth:W" x1="0" />
|
||||
<attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="36" y="368" type="pin gpi3[1:0]" />
|
||||
<line x2="736" y1="784" y2="784" style="linewidth:W" x1="768" />
|
||||
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="732" y="784" type="pin gpo1[15:0]" />
|
||||
<line x2="736" y1="816" y2="816" style="linewidth:W" x1="768" />
|
||||
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="732" y="816" type="pin gpo2[15:0]" />
|
||||
<line x2="736" y1="848" y2="848" style="linewidth:W" x1="768" />
|
||||
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="732" y="848" type="pin gpo3[0:0]" />
|
||||
<line x2="736" y1="880" y2="880" style="linewidth:W" x1="768" />
|
||||
<attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="732" y="880" type="pin gpo4[5:0]" />
|
||||
</graph>
|
||||
</symbol>
|
16200
ipcore_dir/microblaze_mcs.v
Normal file
16200
ipcore_dir/microblaze_mcs.v
Normal file
File diff suppressed because it is too large
Load Diff
81
ipcore_dir/microblaze_mcs.veo
Normal file
81
ipcore_dir/microblaze_mcs.veo
Normal file
|
@ -0,0 +1,81 @@
|
|||
/*******************************************************************************
|
||||
* This file is owned and controlled by Xilinx and must be used solely *
|
||||
* for design, simulation, implementation and creation of design files *
|
||||
* limited to Xilinx devices or technologies. Use with non-Xilinx *
|
||||
* devices or technologies is expressly prohibited and immediately *
|
||||
* terminates your license. *
|
||||
* *
|
||||
* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY *
|
||||
* FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY *
|
||||
* PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE *
|
||||
* IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS *
|
||||
* MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY *
|
||||
* CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY *
|
||||
* RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY *
|
||||
* DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE *
|
||||
* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR *
|
||||
* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF *
|
||||
* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A *
|
||||
* PARTICULAR PURPOSE. *
|
||||
* *
|
||||
* Xilinx products are not intended for use in life support appliances, *
|
||||
* devices, or systems. Use in such applications are expressly *
|
||||
* prohibited. *
|
||||
* *
|
||||
* (c) Copyright 1995-2020 Xilinx, Inc. *
|
||||
* All rights reserved. *
|
||||
*******************************************************************************/
|
||||
|
||||
/*******************************************************************************
|
||||
* Generated from core with identifier: xilinx.com:ip:microblaze_mcs:1.4 *
|
||||
* *
|
||||
* MicroBlaze Micro Controller System (MCS) is a light-weight general *
|
||||
* purpose micro controller system, based on the MicroBlaze processor. *
|
||||
* It is primarily intended for simple control applications, where a *
|
||||
* hardware solution would be less flexible and more difficult to *
|
||||
* implement. Software development with the Xilinx Software Development *
|
||||
* Kit (SDK) is supported, including a software driver for the *
|
||||
* peripherals. Debugging is available either via SDK or directly with *
|
||||
* the Xilinx Microprocessor Debugger. *
|
||||
* *
|
||||
* The MCS consists of the processor itself, local memory with sizes *
|
||||
* ranging from 4KB to 64KB, up to 4 Fixed Interval Timers, up to 4 *
|
||||
* Programmable Interval Timers, up to 4 32-bit General Purpose Output *
|
||||
* ports, up to 4 32-bit General Purpose Input ports, and an Interrupt *
|
||||
* Controller with up to 16 external interrupt inputs. *
|
||||
* *
|
||||
*******************************************************************************/
|
||||
|
||||
// Interfaces:
|
||||
// IO_BUS
|
||||
// MicroBlaze MCS IO Bus Interface
|
||||
// TRACE
|
||||
// MicroBlaze MCS Trace Interface
|
||||
|
||||
// The following must be inserted into your Verilog file for this
|
||||
// core to be instantiated. Change the instance name and port connections
|
||||
// (in parentheses) to your own signal names.
|
||||
|
||||
//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
|
||||
microblaze_mcs your_instance_name (
|
||||
.Clk(Clk), // input Clk
|
||||
.Reset(Reset), // input Reset
|
||||
.GPO1(GPO1), // output [15 : 0] GPO1
|
||||
.GPO2(GPO2), // output [15 : 0] GPO2
|
||||
.GPO3(GPO3), // output [0 : 0] GPO3
|
||||
.GPO4(GPO4), // output [5 : 0] GPO4
|
||||
.GPI1(GPI1), // input [7 : 0] GPI1
|
||||
.GPI1_Interrupt(GPI1_Interrupt), // output GPI1_Interrupt
|
||||
.GPI2(GPI2), // input [0 : 0] GPI2
|
||||
.GPI2_Interrupt(GPI2_Interrupt), // output GPI2_Interrupt
|
||||
.GPI3(GPI3), // input [1 : 0] GPI3
|
||||
.GPI3_Interrupt(GPI3_Interrupt), // output GPI3_Interrupt
|
||||
.INTC_IRQ(INTC_IRQ) // output INTC_IRQ
|
||||
);
|
||||
// INST_TAG_END ------ End INSTANTIATION Template ---------
|
||||
|
||||
// You must compile the wrapper file microblaze_mcs.v when simulating
|
||||
// the core, microblaze_mcs. When compiling the wrapper file, be sure to
|
||||
// reference the XilinxCoreLib Verilog simulation library. For detailed
|
||||
// instructions, please refer to the "CORE Generator Help".
|
||||
|
126
ipcore_dir/microblaze_mcs.xco
Normal file
126
ipcore_dir/microblaze_mcs.xco
Normal file
|
@ -0,0 +1,126 @@
|
|||
##############################################################
|
||||
#
|
||||
# Xilinx Core Generator version 14.7
|
||||
# Date: Sat Sep 19 21:29:32 2020
|
||||
#
|
||||
##############################################################
|
||||
#
|
||||
# This file contains the customisation parameters for a
|
||||
# Xilinx CORE Generator IP GUI. It is strongly recommended
|
||||
# that you do not manually alter this file as it may cause
|
||||
# unexpected and unsupported behavior.
|
||||
#
|
||||
##############################################################
|
||||
#
|
||||
# Generated from component: xilinx.com:ip:microblaze_mcs:1.4
|
||||
#
|
||||
##############################################################
|
||||
#
|
||||
# BEGIN Project Options
|
||||
SET addpads = false
|
||||
SET asysymbol = true
|
||||
SET busformat = BusFormatAngleBracketNotRipped
|
||||
SET createndf = false
|
||||
SET designentry = Verilog
|
||||
SET device = xc6slx9
|
||||
SET devicefamily = spartan6
|
||||
SET flowvendor = Other
|
||||
SET formalverification = false
|
||||
SET foundationsym = false
|
||||
SET implementationfiletype = Ngc
|
||||
SET package = tqg144
|
||||
SET removerpms = false
|
||||
SET simulationfiles = Behavioral
|
||||
SET speedgrade = -2
|
||||
SET verilogsim = true
|
||||
SET vhdlsim = false
|
||||
# END Project Options
|
||||
# BEGIN Select
|
||||
SELECT MicroBlaze_MCS xilinx.com:ip:microblaze_mcs:1.4
|
||||
# END Select
|
||||
# BEGIN Parameters
|
||||
CSET component_name=microblaze_mcs
|
||||
CSET debug_enabled=false
|
||||
CSET fit1_interrupt=false
|
||||
CSET fit1_no_clocks=6216
|
||||
CSET fit2_interrupt=false
|
||||
CSET fit2_no_clocks=6216
|
||||
CSET fit3_interrupt=false
|
||||
CSET fit3_no_clocks=6216
|
||||
CSET fit4_interrupt=false
|
||||
CSET fit4_no_clocks=6216
|
||||
CSET freq=150
|
||||
CSET gpi1_interrupt=None
|
||||
CSET gpi1_size=8
|
||||
CSET gpi2_interrupt=Falling_Edge
|
||||
CSET gpi2_size=1
|
||||
CSET gpi3_interrupt=None
|
||||
CSET gpi3_size=2
|
||||
CSET gpi4_interrupt=None
|
||||
CSET gpi4_size=32
|
||||
CSET gpo1_init=0x00000000
|
||||
CSET gpo1_size=16
|
||||
CSET gpo2_init=0x00000000
|
||||
CSET gpo2_size=16
|
||||
CSET gpo3_init=0x00000000
|
||||
CSET gpo3_size=1
|
||||
CSET gpo4_init=0x00000000
|
||||
CSET gpo4_size=6
|
||||
CSET intc_intr_size=1
|
||||
CSET intc_level_edge=0x0000
|
||||
CSET intc_positive=0xFFFF
|
||||
CSET intc_use_ext_intr=false
|
||||
CSET jtag_chain=USER2
|
||||
CSET memsize=16KB
|
||||
CSET microblaze_instance=microblaze_mcs_v1_4
|
||||
CSET path=mcs_0
|
||||
CSET pit1_interrupt=false
|
||||
CSET pit1_prescaler=None
|
||||
CSET pit1_readable=true
|
||||
CSET pit1_size=32
|
||||
CSET pit2_interrupt=false
|
||||
CSET pit2_prescaler=None
|
||||
CSET pit2_readable=true
|
||||
CSET pit2_size=32
|
||||
CSET pit3_interrupt=false
|
||||
CSET pit3_prescaler=None
|
||||
CSET pit3_readable=true
|
||||
CSET pit3_size=32
|
||||
CSET pit4_interrupt=false
|
||||
CSET pit4_prescaler=None
|
||||
CSET pit4_readable=true
|
||||
CSET pit4_size=32
|
||||
CSET trace=false
|
||||
CSET uart_baudrate=9600
|
||||
CSET uart_data_bits=8
|
||||
CSET uart_error_interrupt=false
|
||||
CSET uart_odd_parity=Even
|
||||
CSET uart_prog_baudrate=false
|
||||
CSET uart_rx_interrupt=false
|
||||
CSET uart_tx_interrupt=false
|
||||
CSET uart_use_parity=false
|
||||
CSET use_fit1=false
|
||||
CSET use_fit2=false
|
||||
CSET use_fit3=false
|
||||
CSET use_fit4=false
|
||||
CSET use_gpi1=true
|
||||
CSET use_gpi2=true
|
||||
CSET use_gpi3=true
|
||||
CSET use_gpi4=false
|
||||
CSET use_gpo1=true
|
||||
CSET use_gpo2=true
|
||||
CSET use_gpo3=true
|
||||
CSET use_gpo4=true
|
||||
CSET use_io_bus=false
|
||||
CSET use_pit1=false
|
||||
CSET use_pit2=false
|
||||
CSET use_pit3=false
|
||||
CSET use_pit4=false
|
||||
CSET use_uart_rx=false
|
||||
CSET use_uart_tx=false
|
||||
# END Parameters
|
||||
# BEGIN Extra information
|
||||
MISC pkg_timestamp=2012-11-21T08:11:43Z
|
||||
# END Extra information
|
||||
GENERATE
|
||||
# CRC: d8973b25
|
415
ipcore_dir/microblaze_mcs.xise
Normal file
415
ipcore_dir/microblaze_mcs.xise
Normal file
|
@ -0,0 +1,415 @@
|
|||
<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
|
||||
<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
|
||||
|
||||
<header>
|
||||
<!-- ISE source project file created by Project Navigator. -->
|
||||
<!-- -->
|
||||
<!-- This file contains project source information including a list of -->
|
||||
<!-- project source files, project and process properties. This file, -->
|
||||
<!-- along with the project source files, is sufficient to open and -->
|
||||
<!-- implement in ISE Project Navigator. -->
|
||||
<!-- -->
|
||||
<!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
|
||||
</header>
|
||||
|
||||
<version xil_pn:ise_version="14.7" xil_pn:schema_version="2"/>
|
||||
|
||||
<files>
|
||||
<file xil_pn:name="microblaze_mcs/mb_bootloop_le.elf" xil_pn:type="FILE_ELF">
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="1"/>
|
||||
</file>
|
||||
<file xil_pn:name="microblaze_mcs/system_template.tcl" xil_pn:type="FILE_TCL"/>
|
||||
<file xil_pn:name="microblaze_mcs/microblaze_mcs_setup.tcl" xil_pn:type="FILE_TCL"/>
|
||||
<file xil_pn:name="microblaze_mcs.ngc" xil_pn:type="FILE_NGC">
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="4"/>
|
||||
</file>
|
||||
<file xil_pn:name="mb_bootloop_le.elf" xil_pn:type="FILE_ELF">
|
||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="5"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="5"/>
|
||||
</file>
|
||||
<file xil_pn:name="microblaze_mcs.bmm" xil_pn:type="FILE_BMM">
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="6"/>
|
||||
</file>
|
||||
<file xil_pn:name="microblaze_mcs_sdk.xml" xil_pn:type="FILE_FITTER_REPORT"/>
|
||||
<file xil_pn:name="microblaze_mcs_setup.tcl" xil_pn:type="FILE_TCL"/>
|
||||
<file xil_pn:name="microblaze_mcs.veo" xil_pn:type="FILE_VEO"/>
|
||||
<file xil_pn:name="microblaze_mcs.v" xil_pn:type="FILE_VERILOG">
|
||||
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="10"/>
|
||||
<association xil_pn:name="Implementation" xil_pn:seqID="10"/>
|
||||
<association xil_pn:name="PostMapSimulation" xil_pn:seqID="10"/>
|
||||
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="10"/>
|
||||
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="10"/>
|
||||
</file>
|
||||
</files>
|
||||
|
||||
<properties>
|
||||
<property xil_pn:name="AES Initial Vector spartan6" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="AES Initial Vector virtex6" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="AES Key (Hex String) spartan6" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="AES Key (Hex String) virtex6" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Add I/O Buffers" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Allow Logic Optimization Across Hierarchy" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Allow SelectMAP Pins to Persist" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Allow Unexpanded Blocks" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Allow Unmatched Timing Group Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Analysis Effort Level" xil_pn:value="Standard" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Asynchronous To Synchronous" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Auto Implementation Compile Order" xil_pn:value="false" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Automatic BRAM Packing" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Automatically Insert glbl Module in the Netlist" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Automatically Run Generate Target PROM/ACE File" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="BPI Reads Per Page" xil_pn:value="1" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="BPI Sync Mode" xil_pn:value="Disable" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="BRAM Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Bring Out Global Set/Reset Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Bring Out Global Tristate Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Bus Delimiter" xil_pn:value="<>" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Case" xil_pn:value="Maintain" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Case Implementation Style" xil_pn:value="None" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Change Device Speed To" xil_pn:value="-2" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Change Device Speed To Post Trace" xil_pn:value="-2" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Combinatorial Logic Optimization" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Compile EDK Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Compile SIMPRIM (Timing) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Compile UNISIM (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Compile XilinxCoreLib (CORE Generator) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Compile for HDL Debugging" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Configuration Clk (Configuration Pins)" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Configuration Pin Done" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Configuration Pin Init" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Configuration Pin M0" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Configuration Pin M1" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Configuration Pin M2" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Configuration Pin Program" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Configuration Rate spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Configuration Rate virtex5" xil_pn:value="3" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Correlate Output to Input Design" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Create ASCII Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Create Binary Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Create Bit File" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Create I/O Pads from Ports" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Create IEEE 1532 Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Create IEEE 1532 Configuration File spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Create Logic Allocation File" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Create Mask File" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Create ReadBack Data Files" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Cross Clock Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Cycles for First BPI Page Read" xil_pn:value="1" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="DCI Update Mode" xil_pn:value="As Required" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="DSP Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Delay Values To Be Read from SDF" xil_pn:value="Setup Time" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Device" xil_pn:value="xc6slx9" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Device Speed Grade/Select ABS Minimum" xil_pn:value="-2" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Disable Detailed Package Model Insertion" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Disable JTAG Connection" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Do Not Escape Signal and Instance Names in Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Done (Output Events)" xil_pn:value="Default (4)" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Drive Awake Pin During Suspend/Wake Sequence spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Drive Done Pin High" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable BitStream Compression" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable Cyclic Redundancy Checking (CRC)" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable Cyclic Redundancy Checking (CRC) spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable Debugging of Serial Mode BitStream" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable External Master Clock" xil_pn:value="Disable" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable External Master Clock spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable Message Filtering" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable Multi-Threading" xil_pn:value="Off" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable Multi-Threading par spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable Multi-Threading par virtex5" xil_pn:value="Off" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable Outputs (Output Events)" xil_pn:value="Default (5)" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Enable Suspend/Wake Global Set/Reset spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Encrypt Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Encrypt Bitstream virtex6" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Encrypt Key Select spartan6" xil_pn:value="BBRAM" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Encrypt Key Select virtex6" xil_pn:value="BBRAM" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Equivalent Register Removal Map" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Equivalent Register Removal XST" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Essential Bits" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Evaluation Development Board" xil_pn:value="None Specified" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Exclude Compilation of Deprecated EDK Cores" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Exclude Compilation of EDK Sub-Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Extra Cost Tables Map" xil_pn:value="0" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Extra Cost Tables Map virtex6" xil_pn:value="0" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Extra Effort (Highest PAR level only)" xil_pn:value="None" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="FPGA Start-Up Clock" xil_pn:value="CCLK" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="FSM Encoding Algorithm" xil_pn:value="Auto" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="FSM Style" xil_pn:value="LUT" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Fallback Reconfiguration virtex7" xil_pn:value="Disable" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Filter Files From Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Flatten Output Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Functional Model Target Language ArchWiz" xil_pn:value="Verilog" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Functional Model Target Language Coregen" xil_pn:value="Verilog" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Functional Model Target Language Schematic" xil_pn:value="Verilog" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="GTS Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="GWE Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="5" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Architecture Only (No Entity Declaration)" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Asynchronous Delay Report" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Clock Region Report" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Constraints Interaction Report" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Constraints Interaction Report Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Datasheet Section" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Datasheet Section Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Detailed MAP Report" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Multiple Hierarchical Netlist Files" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Post-Place & Route Power Report" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Post-Place & Route Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate RTL Schematic" xil_pn:value="Yes" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate SAIF File for Power Optimization/Estimation Par" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Testbench File" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Timegroups Section" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generate Timegroups Section Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Generics, Parameters" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Global Optimization Goal" xil_pn:value="AllClockNets" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Global Optimization map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Global Optimization map virtex5" xil_pn:value="Off" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Global Set/Reset Port Name" xil_pn:value="GSR_PORT" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Global Tristate Port Name" xil_pn:value="GTS_PORT" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="HMAC Key (Hex String)" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Hierarchy Separator" xil_pn:value="/" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="ICAP Select" xil_pn:value="Auto" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="ISim UUT Instance Name" xil_pn:value="UUT" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Ignore User Timing Constraints Map" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Ignore User Timing Constraints Par" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Implementation Stop View" xil_pn:value="Structural" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Implementation Top" xil_pn:value="Module|microblaze_mcs" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/microblaze_mcs" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Include 'uselib Directive in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Include SIMPRIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Include UNISIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Include sdf_annotate task in Verilog File" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Incremental Compilation" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Insert Buffers to Prevent Pulse Swallowing" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Instantiation Template Target Language Xps" xil_pn:value="Verilog" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="JTAG Pin TCK" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="JTAG Pin TDI" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="JTAG Pin TDO" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="JTAG Pin TMS" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="JTAG to XADC Connection" xil_pn:value="Enable" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Keep Hierarchy" xil_pn:value="No" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="LUT Combining Map" xil_pn:value="Off" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="LUT Combining Xst" xil_pn:value="Auto" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Language" xil_pn:value="VHDL" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Launch SDK after Export" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Library for Verilog Sources" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Load glbl" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Manual Implementation Compile Order" xil_pn:value="true" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Map Slice Logic into Unused Block RAMs" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Mask Pins for Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="0x00" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Max Fanout" xil_pn:value="100000" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Maximum Compression" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Maximum Number of Lines in Report" xil_pn:value="1000" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Maximum Signal Name Length" xil_pn:value="20" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Move First Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Move Last Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="MultiBoot: Insert IPROG CMD in the Bitfile spartan6" xil_pn:value="Enable" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="MultiBoot: Insert IPROG CMD in the Bitfile virtex7" xil_pn:value="Enable" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="MultiBoot: Next Configuration Mode spartan6" xil_pn:value="001" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="MultiBoot: Starting Address for Golden Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="MultiBoot: Starting Address for Next Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="MultiBoot: Use New Mode for Next Configuration spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="MultiBoot: User-Defined Register for Failsafe Scheme spartan6" xil_pn:value="0x0000" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Netlist Hierarchy" xil_pn:value="As Optimized" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Netlist Translation Type" xil_pn:value="Timestamp" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Number of Clock Buffers" xil_pn:value="16" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Number of Paths in Error/Verbose Report" xil_pn:value="3" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Number of Paths in Error/Verbose Report Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Optimization Effort spartan6" xil_pn:value="Normal" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Optimization Effort virtex6" xil_pn:value="Normal" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Optimization Goal" xil_pn:value="Speed" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Optimize Instantiated Primitives" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Bitgen Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Bitgen Command Line Options spartan6" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Compiler Options" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Compiler Options Map" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Compiler Options Par" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Compiler Options Translate" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Compxlib Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Map Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other NETGEN Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Ngdbuild Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Place & Route Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Simulator Commands Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Simulator Commands Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Simulator Commands Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other Simulator Commands Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other XPWR Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Other XST Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Output Extended Identifiers" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Output File Name" xil_pn:value="microblaze_mcs" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Auto" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="Off" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Package" xil_pn:value="tqg144" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Perform Advanced Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Perform Advanced Analysis Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Place & Route Effort Level (Overall)" xil_pn:value="High" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Place And Route Mode" xil_pn:value="Normal Place and Route" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Place MultiBoot Settings into Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Place MultiBoot Settings into Bitstream virtex7" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Placer Effort Level Map" xil_pn:value="High" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Placer Extra Effort Map" xil_pn:value="None" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Port to be used" xil_pn:value="Auto - default" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="microblaze_mcs_map.v" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Post Place & Route Simulation Model Name" xil_pn:value="microblaze_mcs_timesim.v" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="microblaze_mcs_synthesis.v" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="microblaze_mcs_translate.v" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Power Down Device if Over Safe Temperature" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Power Reduction Map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Power Reduction Map virtex6" xil_pn:value="Off" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Power Reduction Par" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Power Reduction Xst" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="RAM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="RAM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="ROM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="ROM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Read Cores" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Reduce Control Sets" xil_pn:value="Auto" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Regenerate Core" xil_pn:value="Under Current Project Setting" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Register Balancing" xil_pn:value="No" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Register Duplication Map" xil_pn:value="Off" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Register Duplication Xst" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Register Ordering spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Register Ordering virtex6" xil_pn:value="4" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Release Write Enable (Output Events)" xil_pn:value="Default (6)" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Rename Design Instance in Testbench File to" xil_pn:value="UUT" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Rename Top Level Architecture To" xil_pn:value="Structure" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Rename Top Level Entity to" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Rename Top Level Module To" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Report Fastest Path(s) in Each Constraint" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Report Fastest Path(s) in Each Constraint Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Report Paths by Endpoint" xil_pn:value="3" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Report Paths by Endpoint Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Report Type" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Report Type Post Trace" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Report Unconstrained Paths" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Report Unconstrained Paths Post Trace" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Reset On Configuration Pulse Width" xil_pn:value="100" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Resource Sharing" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Retain Hierarchy" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Retry Configuration if CRC Error Occurs spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Revision Select" xil_pn:value="00" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Revision Select Tristate" xil_pn:value="Disable" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Run Design Rules Checker (DRC)" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Run for Specified Time" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Run for Specified Time Map" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Run for Specified Time Par" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="SPI 32-bit Addressing" xil_pn:value="No" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Selected Simulation Source Node" xil_pn:value="UUT" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Set SPI Configuration Bus Width" xil_pn:value="1" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Set SPI Configuration Bus Width spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Setup External Master Clock Division spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Shift Register Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Shift Register Minimum Size spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Shift Register Minimum Size virtex6" xil_pn:value="2" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Show All Models" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Simulation Model Target" xil_pn:value="Verilog" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Simulation Run Time ISim" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Simulation Run Time Map" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Simulation Run Time Par" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Simulation Run Time Translate" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Slice Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="Default" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="Default" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Speed Grade" xil_pn:value="-2" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="Starting Address for Fallback Configuration virtex7" xil_pn:value="None" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Starting Placer Cost Table (1-100)" xil_pn:value="1" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Starting Placer Cost Table (1-100) Map spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Target Simulator" xil_pn:value="Please Specify" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Timing Mode Map" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Timing Mode Par" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Top-Level Module Name in Output Netlist" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Trim Unconnected Signals" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Tristate On Configuration Pulse Width" xil_pn:value="0" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Unused IOB Pins" xil_pn:value="Pull Down" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use 64-bit PlanAhead on 64-bit Systems" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Clock Enable" xil_pn:value="Auto" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Project File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Project File Post-Map" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Project File Post-Route" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Project File Post-Translate" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Simulation Command File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Simulation Command File Map" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Simulation Command File Par" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Simulation Command File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Waveform Configuration File Behav" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Waveform Configuration File Map" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Waveform Configuration File Par" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Custom Waveform Configuration File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use DSP Block" xil_pn:value="Auto" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use DSP Block spartan6" xil_pn:value="Auto" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use LOC Constraints" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use RLOC Constraints" xil_pn:value="Yes" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use SPI Falling Edge" xil_pn:value="No" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Smart Guide" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Synchronous Reset" xil_pn:value="Auto" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Synchronous Set" xil_pn:value="Auto" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Use Synthesis Constraints File" xil_pn:value="true" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="User Access Register Value" xil_pn:value="None" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="UserID Code (8 Digit Hexadecimal)" xil_pn:value="0xFFFFFFFF" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="VCCAUX Voltage Level spartan6" xil_pn:value="2.5V" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="VHDL Source Analysis Standard" xil_pn:value="VHDL-93" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Value Range Check" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Verilog Macros" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Wait for DCI Match (Output Events) virtex5" xil_pn:value="Auto" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Wait for DCM and PLL Lock (Output Events) spartan6" xil_pn:value="Default (NoWait)" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Wait for PLL Lock (Output Events) virtex6" xil_pn:value="No Wait" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Wakeup Clock spartan6" xil_pn:value="Startup Clock" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Watchdog Timer Mode 7-series" xil_pn:value="Off" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Watchdog Timer Value 7-series" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Watchdog Timer Value spartan6" xil_pn:value="0xFFFF" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="Write Timing Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
|
||||
<!-- -->
|
||||
<!-- The following properties are for internal use only. These should not be modified.-->
|
||||
<!-- -->
|
||||
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="PROP_DesignName" xil_pn:value="microblaze_mcs" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="PROP_PostMapSimTop" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="PROP_PostParSimTop" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="PROP_PostSynthSimTop" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="PROP_PostXlateSimTop" xil_pn:value="" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="PROP_PreSynthesis" xil_pn:value="PreSynthesis" xil_pn:valueState="default"/>
|
||||
<property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2020-09-19T23:31:29" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="1A4700C997163E3885C0799E1A4F1889" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
|
||||
<property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/>
|
||||
</properties>
|
||||
|
||||
<bindings/>
|
||||
|
||||
<libraries/>
|
||||
|
||||
<autoManagedFiles>
|
||||
<!-- The following files are identified by `include statements in verilog -->
|
||||
<!-- source files and are automatically managed by Project Navigator. -->
|
||||
<!-- -->
|
||||
<!-- Do not hand-edit this section, as it will be overwritten when the -->
|
||||
<!-- project is analyzed based on files automatically identified as -->
|
||||
<!-- include files. -->
|
||||
</autoManagedFiles>
|
||||
|
||||
</project>
|
BIN
ipcore_dir/microblaze_mcs/mb_bootloop_le.elf
Normal file
BIN
ipcore_dir/microblaze_mcs/mb_bootloop_le.elf
Normal file
Binary file not shown.
539
ipcore_dir/microblaze_mcs/microblaze_mcs_setup.tcl
Normal file
539
ipcore_dir/microblaze_mcs/microblaze_mcs_setup.tcl
Normal file
|
@ -0,0 +1,539 @@
|
|||
###############################################################################
|
||||
##
|
||||
## (c) Copyright 2012 Xilinx, Inc. All rights reserved.
|
||||
##
|
||||
## This file contains confidential and proprietary information
|
||||
## of Xilinx, Inc. and is protected under U.S. and
|
||||
## international copyright and other intellectual property
|
||||
## laws.
|
||||
##
|
||||
## DISCLAIMER
|
||||
## This disclaimer is not a license and does not grant any
|
||||
## rights to the materials distributed herewith. Except as
|
||||
## otherwise provided in a valid license issued to you by
|
||||
## Xilinx, and to the maximum extent permitted by applicable
|
||||
## law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
## WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
## AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
## BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
## INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
## (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
## including negligence, or under any other theory of
|
||||
## liability) for any loss or damage of any kind or nature
|
||||
## related to, arising under or in connection with these
|
||||
## materials, including for any direct, or any indirect,
|
||||
## special, incidental, or consequential loss or damage
|
||||
## (including loss of data, profits, goodwill, or any type of
|
||||
## loss or damage suffered as a result of any action brought
|
||||
## by a third party) even if such damage or loss was
|
||||
## reasonably foreseeable or Xilinx had been advised of the
|
||||
## possibility of the same.
|
||||
##
|
||||
## CRITICAL APPLICATIONS
|
||||
## Xilinx products are not designed or intended to be fail-
|
||||
## safe, or for use in any application requiring fail-safe
|
||||
## performance, such as life-support or safety devices or
|
||||
## systems, Class III medical devices, nuclear facilities,
|
||||
## applications related to the deployment of airbags, or any
|
||||
## other applications that could lead to death, personal
|
||||
## injury, or severe property or environmental damage
|
||||
## (individually and collectively, "Critical
|
||||
## Applications"). Customer assumes the sole risk and
|
||||
## liability of any use of Xilinx products in Critical
|
||||
## Applications, subject only to applicable laws and
|
||||
## regulations governing limitations on product liability.
|
||||
##
|
||||
## THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
## PART OF THIS FILE AT ALL TIMES.
|
||||
##
|
||||
###############################################################################
|
||||
##
|
||||
## microblaze_mcs_setup.tcl
|
||||
##
|
||||
###############################################################################
|
||||
#
|
||||
# This script should be sourced after CORE Generator has been used to generate
|
||||
# a MicroBlaze MCS instance, either when creating a new or changing an existing
|
||||
# instance.
|
||||
#
|
||||
# Run the script in the PlanAhead Tcl Console by typically using:
|
||||
#
|
||||
# source -notrace \
|
||||
# project_1.srcs/sources_1/ip/microblaze_mcs_v1_4_0/microblaze_mcs_setup.tcl
|
||||
#
|
||||
# Run the script in the Project Navigator Tcl Console by typically using:
|
||||
#
|
||||
# Command> source ipcore_dir/microblaze_mcs_setup.tcl
|
||||
#
|
||||
# Use the menu command "View -> Panels -> Tcl Console" to show the Tcl Console
|
||||
# in the Project Navigator, if it is not visible.
|
||||
#
|
||||
###############################################################################
|
||||
#
|
||||
# This script contains two exported Tcl procedures:
|
||||
#
|
||||
# o The first, "microblaze_mcs_setup", is used to create a merged BMM file,
|
||||
# which defines the local memory of all MicroBlaze MCS instances in the
|
||||
# project (if more than one instance), and set Translate process properties
|
||||
# to add the "-bm" option indicating the used BMM file.
|
||||
#
|
||||
# The procedure is automatically invoked when sourcing this script, but
|
||||
# can also subsequently be invoked with "microblaze_mcs_setup".
|
||||
#
|
||||
# The procedure should be invoked before running implementation, but after
|
||||
# the MicroBlaze MCS instance has been generated.
|
||||
#
|
||||
# o The second, "microblaze_mcs_data2mem", is used to update the bit stream
|
||||
# with one or more ELF files (software programs) given as arguments, generate
|
||||
# corresponding MEM files for simulation, and set Bitgen process properties
|
||||
# to add the "-bd" option indicating the ELF files.
|
||||
#
|
||||
# If no argument is given, the bit stream is updated with the microblaze
|
||||
# boot loop ELF file, which ensures that the processor executes an infinite
|
||||
# loop.
|
||||
#
|
||||
# The procedure should be invoked after the system has been implemented. It
|
||||
# must also be invoked again when an ELF file name is changed, or when the
|
||||
# content of an ELF file is changed. If the system is reimplemented without
|
||||
# changing the software, the procedure need not be invoked again, due to the
|
||||
# Bitgen "-bd" option.
|
||||
#
|
||||
###############################################################################
|
||||
|
||||
namespace eval microblaze_mcs {
|
||||
|
||||
# Determine if using planAhead or Project Navigator
|
||||
proc mcs_using_planahead {} {
|
||||
return [expr [string first "planAhead" [info nameofexecutable]] != -1]
|
||||
}
|
||||
|
||||
# Find all MicroBlaze MCS instances in the project
|
||||
# Return a list of lists with instance name and file name
|
||||
proc mcs_find_instances {} {
|
||||
set mcs_instances {}
|
||||
set xco_filenames {}
|
||||
if {[mcs_using_planahead]} {
|
||||
set found [get_files -quiet -filter {IS_ENABLED==1} "*.xci"]
|
||||
if {$found == ""} {
|
||||
set found [get_files -quiet -filter {IS_ENABLED==1} "*.xco"]
|
||||
if {[string first ".xco" $found] + 4 == [string length $found]} {
|
||||
lappend xco_filenames "$found"
|
||||
} else {
|
||||
set xco_filenames $found
|
||||
}
|
||||
} elseif {[string first ".xci" $found] + 4 == [string length $found]} {
|
||||
lappend xco_filenames [string map {.xci .xco} $found]
|
||||
} else {
|
||||
foreach item $found {
|
||||
lappend xco_filenames [string map {.xci .xco} $item]
|
||||
}
|
||||
}
|
||||
} else {
|
||||
set found [search "*.xco"]
|
||||
collection foreach item $found {
|
||||
lappend xco_filenames [object name $item]
|
||||
}
|
||||
}
|
||||
|
||||
for {set index 0} {$index < [llength $xco_filenames]} {incr index} {
|
||||
set xco_filename [lindex $xco_filenames $index]
|
||||
|
||||
# Check if the xco file is a MicroBlaze MCS IP Core
|
||||
set xco_file [open $xco_filename "r"]
|
||||
set xco_data [read $xco_file]
|
||||
close $xco_file
|
||||
if {[regexp {microblaze_mcs} $xco_data]} {
|
||||
regexp {CSET component_name=([A-Za-z0-9_]*)} $xco_data match inst
|
||||
lappend mcs_instances [list $xco_filename $inst]
|
||||
}
|
||||
}
|
||||
return $mcs_instances
|
||||
}
|
||||
|
||||
# Get current options
|
||||
proc mcs_get_options {step} {
|
||||
if {[mcs_using_planahead]} {
|
||||
set dir [get_property directory [current_project]]
|
||||
set name [get_property name [current_project]]
|
||||
set run [current_run -quiet]
|
||||
set psg_filename "[file join ${dir} ${name}.data runs ${run}.psg]"
|
||||
if {[file exist $psg_filename]} {
|
||||
set psg_file [open $psg_filename "r"]
|
||||
set psg_data [read $psg_file]
|
||||
close $psg_file
|
||||
|
||||
set search "<Step Id=\"[string tolower $step]\">"
|
||||
append search {[\n\t ]*<Option Id="MoreOptsStr"><\!\[CDATA\[([^[]*)\]\]>}
|
||||
if {[regexp $search $psg_data match option]} {
|
||||
return $option
|
||||
}
|
||||
}
|
||||
return ""
|
||||
} else {
|
||||
return [project get "Other $step Command Line Options"]
|
||||
}
|
||||
}
|
||||
|
||||
# Handle MicroBlaze BMM files: Create merged file and set ngdbuild options
|
||||
proc microblaze_mcs_setup {} {
|
||||
set procname "microblaze_mcs_setup"
|
||||
|
||||
# Find all MicroBlaze MCS instances in the project
|
||||
set mcs_instances [mcs_find_instances]
|
||||
set mcs_instances_length [llength $mcs_instances]
|
||||
set cores "cores"
|
||||
if {$mcs_instances_length == 1} { set cores "core" }
|
||||
puts "$procname: Found $mcs_instances_length MicroBlaze MCS ${cores}."
|
||||
|
||||
if {$mcs_instances_length == 0} {
|
||||
return
|
||||
}
|
||||
|
||||
# Determine project directory
|
||||
if {[mcs_using_planahead]} {
|
||||
set projdir [get_property "directory" [current_project]]
|
||||
} else {
|
||||
set projdir [pwd]
|
||||
}
|
||||
|
||||
# Handle BMM files: create merged file if more than one instance
|
||||
if {$mcs_instances_length > 1} {
|
||||
|
||||
# Read all MicroBlaze MCS BMM files and merge the data
|
||||
# Assign unique IDs (last number on ADDRESS_MAP line)
|
||||
set bmm_data ""
|
||||
set bmm_missing ""
|
||||
set index 0
|
||||
set bmm_id 100
|
||||
foreach mcs_instance $mcs_instances {
|
||||
set mcs_xco_filename [lindex $mcs_instance 0]
|
||||
set mcs_instance_name [lindex $mcs_instance 1]
|
||||
set dir "[file dirname $mcs_xco_filename]"
|
||||
set bmm_filename "[file join $dir "${mcs_instance_name}.bmm"]"
|
||||
if {[file exist $bmm_filename]} {
|
||||
set bmm_file [open $bmm_filename "r"]
|
||||
set bmm_file_data [read $bmm_file]
|
||||
append bmm_data \
|
||||
[regsub {MICROBLAZE-LE 100} $bmm_file_data "MICROBLAZE-LE $bmm_id"]
|
||||
set bmm_id [expr $bmm_id + 100]
|
||||
close $bmm_file
|
||||
} else {
|
||||
append bmm_missing "${mcs_instance_name}, "
|
||||
}
|
||||
incr index
|
||||
}
|
||||
|
||||
if {[string length $bmm_missing] != 0} {
|
||||
set bmm_missing [string trimright $bmm_missing ", "]
|
||||
puts "$procname: ERROR: Could not find a BMM file for ${bmm_missing}. Please regenerate the MicroBlaze MCS instances."
|
||||
return
|
||||
}
|
||||
|
||||
# Determine merged BMM file name
|
||||
set mcs_bmm_basename "microblaze_mcs_merged"
|
||||
set mcs_bmm_filepath "[file join $projdir ${mcs_bmm_basename}.bmm]"
|
||||
|
||||
# Check if merged BMM file already exists
|
||||
set bmm_file_data ""
|
||||
if {[file exist $mcs_bmm_filepath]} {
|
||||
set bmm_file [open $mcs_bmm_filepath "r"]
|
||||
gets $bmm_file
|
||||
set bmm_file_data [read $bmm_file]
|
||||
close $bmm_file
|
||||
}
|
||||
|
||||
# Output merged data on project directory level, if not found or changed
|
||||
if {$bmm_file_data != $bmm_data} {
|
||||
set bmm_file [open $mcs_bmm_filepath "w"]
|
||||
set date [clock format [clock seconds]]
|
||||
puts $bmm_file "// Automatically generated by \"microblaze_mcs_setup.tcl\" on $date"
|
||||
puts -nonewline $bmm_file $bmm_data
|
||||
close $bmm_file
|
||||
if {[file exist $mcs_bmm_filepath]} {
|
||||
puts "$procname: Modified \"${mcs_bmm_basename}.bmm\"."
|
||||
} else {
|
||||
puts "$procname: Created \"${mcs_bmm_basename}.bmm\"."
|
||||
}
|
||||
} else {
|
||||
puts "$procname: Existing \"${mcs_bmm_basename}.bmm\" unchanged."
|
||||
}
|
||||
} else {
|
||||
|
||||
# Determine BMM file name for single instance
|
||||
set mcs_xco_filename [lindex [lindex $mcs_instances 0] 0]
|
||||
set mcs_bmm_basename [lindex [lindex $mcs_instances 0] 1]
|
||||
set dir "[file dirname $mcs_xco_filename]"
|
||||
set mcs_bmm_filepath "[file join $dir "${mcs_bmm_basename}.bmm"]"
|
||||
if {! [file exist $mcs_bmm_filepath]} {
|
||||
puts "$procname: ERROR: Could not find a BMM file for ${mcs_bmm_basename}. Please regenerate the MicroBlaze MCS instance."
|
||||
return
|
||||
}
|
||||
}
|
||||
|
||||
# Determine new ngdbuild "-bm" option
|
||||
if {[mcs_using_planahead]} {
|
||||
set new_option "-bm \"$mcs_bmm_filepath\""
|
||||
} else {
|
||||
set mcs_bmm_relpath [regsub "${projdir}\[\\\/\]" "$mcs_bmm_filepath" {}]
|
||||
set new_option "-bm \"$mcs_bmm_relpath\""
|
||||
}
|
||||
|
||||
# Get current ngdbuild options
|
||||
set options [mcs_get_options "Ngdbuild"]
|
||||
|
||||
# Strip and extract current ngdbuild "-bm" option
|
||||
regsub {\-bm[^-]*} $options {} stripped_options
|
||||
regsub {.*?(-bm[^-]).*} $options {\1} bm_option
|
||||
|
||||
# Set the ngdbuild "-bm" option if it has been modified
|
||||
if {$new_option != $bm_option} {
|
||||
set options [string trim "$stripped_options $new_option"]
|
||||
if {[mcs_using_planahead]} {
|
||||
set run [current_run -quiet]
|
||||
config_run $run \
|
||||
-quiet -program ngdbuild -option {More Options} -value $options
|
||||
} else {
|
||||
project set {Other Ngdbuild Command Line Options} $options
|
||||
}
|
||||
puts "$procname: Added \"-bm\" option for \"${mcs_bmm_basename}.bmm\" to ngdbuild command line options."
|
||||
} else {
|
||||
puts "$procname: Existing ngdbuild \"-bm\" option unchanged."
|
||||
}
|
||||
|
||||
puts "$procname: Done."
|
||||
}
|
||||
|
||||
# Handle MicroBlaze MCS ELF files: Run data2mem and set bitgen options
|
||||
proc microblaze_mcs_data2mem {args} {
|
||||
set procname "microblaze_mcs_data2mem"
|
||||
|
||||
# Find all MicroBlaze MCS instances in the project
|
||||
set mcs_instances [mcs_find_instances]
|
||||
set mcs_instances_length [llength $mcs_instances]
|
||||
set cores "cores"
|
||||
if {$mcs_instances_length == 1} { set cores "core" }
|
||||
puts "$procname: Found $mcs_instances_length MicroBlaze MCS ${cores}."
|
||||
|
||||
if {$mcs_instances_length == 0} {
|
||||
return
|
||||
}
|
||||
|
||||
# Check arguments
|
||||
if {[llength $args] > $mcs_instances_length} {
|
||||
puts "$procname: ERROR: Too many arguments. At most $mcs_instances_length ELF files should be given."
|
||||
return
|
||||
}
|
||||
|
||||
# Determine device name
|
||||
if {[mcs_using_planahead]} {
|
||||
set device_name [get_property "part" [current_project]]
|
||||
} else {
|
||||
set device [project get "Device"]
|
||||
set pack [project get "Package"]
|
||||
set speed [project get "Speed"]
|
||||
set device_name "${device}${pack}${speed}"
|
||||
}
|
||||
|
||||
# Determine project directory
|
||||
if {[mcs_using_planahead]} {
|
||||
set projdir [get_property "directory" [current_project]]
|
||||
} else {
|
||||
set projdir [pwd]
|
||||
}
|
||||
|
||||
# Find BMM file
|
||||
if {$mcs_instances_length > 1} {
|
||||
set mcs_bmm_basename "microblaze_mcs_merged"
|
||||
set mcs_bmm_filepath "[file join $projdir ${mcs_bmm_basename}.bmm]"
|
||||
set mcs_bd_bmm_filepath "[file join $projdir ${mcs_bmm_basename}_bd.bmm]"
|
||||
if {! [file exist $mcs_bmm_filepath]} {
|
||||
puts "$procname: ERROR: Could not find $mcs_bmm_basename.bmm. Please invoke \"microblaze_mcs_setup\" and implement the design."
|
||||
return
|
||||
}
|
||||
} else {
|
||||
set mcs_xco_filename [lindex [lindex $mcs_instances 0] 0]
|
||||
set mcs_bmm_basename [lindex [lindex $mcs_instances 0] 1]
|
||||
set dir "[file dirname $mcs_xco_filename]"
|
||||
set mcs_bmm_filepath "[file join $dir "${mcs_bmm_basename}.bmm"]"
|
||||
set mcs_bd_bmm_filepath "[file join $dir ${mcs_bmm_basename}_bd.bmm]"
|
||||
if {! [file exist $mcs_bmm_filepath]} {
|
||||
puts "$procname: ERROR: Could not find $mcs_bmm_basename.bmm. Please regenerate the MicroBlaze MCS instance."
|
||||
return
|
||||
}
|
||||
}
|
||||
|
||||
# Create data2mem commands and bitgen "-bd" options
|
||||
set bootloop_elf "mb_bootloop_le.elf"
|
||||
set data2mem_cmd "-p $device_name"
|
||||
set data2mem_bit "$data2mem_cmd -bm \"${mcs_bd_bmm_filepath}\""
|
||||
set data2mem_sim "$data2mem_cmd -bm \"${mcs_bmm_filepath}\""
|
||||
set msg_list {}
|
||||
set new_options ""
|
||||
|
||||
foreach mcs_instance $mcs_instances arg $args {
|
||||
set mcs_xco_filename [lindex $mcs_instance 0]
|
||||
set mcs_instance_name [lindex $mcs_instance 1]
|
||||
set mcs_xco_dir "[file dirname $mcs_xco_filename]"
|
||||
set bmm_filename "[file join $mcs_xco_dir "${mcs_instance_name}.bmm"]"
|
||||
|
||||
# Use boot loop if no ELF file argument given
|
||||
if {$arg == ""} {
|
||||
set arg "[file join $mcs_xco_dir $bootloop_elf]"
|
||||
}
|
||||
|
||||
# Check if ELF file exists
|
||||
if {! [file exists $arg]} {
|
||||
puts "$procname: ERROR: Could not find \"$arg\". Please make sure the file exists."
|
||||
return
|
||||
}
|
||||
|
||||
# Check if file is an ELF file (only allow .elf extension)
|
||||
if {[file extension $arg] != ".elf"} {
|
||||
puts "$procname: ERROR: \"$arg\" is not an ELF file."
|
||||
return
|
||||
}
|
||||
|
||||
# Must use absolute paths
|
||||
if {[mcs_using_planahead] && [file pathtype $arg] == "relative"} {
|
||||
set arg "[file join [pwd] $arg]"
|
||||
}
|
||||
|
||||
# Add message
|
||||
set tail [file tail $arg]
|
||||
if {$tail == $bootloop_elf} {
|
||||
lappend msg_list "$procname: Using bootloop for ${mcs_instance_name}"
|
||||
} else {
|
||||
lappend msg_list "$procname: Using \"$tail\" for ${mcs_instance_name}"
|
||||
}
|
||||
|
||||
append new_options " -bd \"$arg\" tag $mcs_instance_name"
|
||||
}
|
||||
append data2mem_bit $new_options
|
||||
append data2mem_sim $new_options
|
||||
set new_options [string trimleft $new_options]
|
||||
|
||||
foreach msg $msg_list {
|
||||
puts $msg
|
||||
}
|
||||
|
||||
if {[mcs_using_planahead]} {
|
||||
set run [current_run -quiet]
|
||||
set rundir [get_property directory $run]
|
||||
set top [get_property top [current_fileset] -quiet]
|
||||
set bit_basename "[file join $rundir ${top}]"
|
||||
|
||||
# Create default project_1.sim/sim_1 simulation directory
|
||||
set name [get_property name [current_project]]
|
||||
set simdir "[file join ${projdir} ${name}.sim sim_1 behav]"
|
||||
file mkdir $simdir
|
||||
|
||||
append data2mem_sim " -bx \"$simdir\""
|
||||
} else {
|
||||
set bit_basename "[project get {Output File Name}]"
|
||||
append data2mem_sim " -bx ."
|
||||
}
|
||||
set bit_filename "${bit_basename}.bit"
|
||||
set bitout_filename "${bit_basename}_out.bit"
|
||||
append data2mem_bit " -bt \"$bit_filename\" -o b \"$bitout_filename\""
|
||||
append data2mem_sim " -u"
|
||||
|
||||
# Get current bitgen options
|
||||
set options [mcs_get_options "Bitgen"]
|
||||
|
||||
# Strip and extract current bitgen "-bd" options
|
||||
regsub -all {\-bd[^-]*} $options {} stripped_options
|
||||
regsub {.*?(-bd[^-])} $options {\1} bd_options
|
||||
|
||||
# Set the bitgen "-bd" options if they have changed
|
||||
set bitfile_exists [file exists $bit_filename]
|
||||
if {$new_options != $bd_options} {
|
||||
set options [string trim "$stripped_options $new_options"]
|
||||
if {[mcs_using_planahead]} {
|
||||
if {! $bitfile_exists} {
|
||||
set_property -quiet add_step Bitgen $run
|
||||
}
|
||||
config_run $run \
|
||||
-quiet -program bitgen -option {More Options} -value $options
|
||||
} else {
|
||||
project set {Other Bitgen Command Line Options} $options
|
||||
}
|
||||
puts "$procname: Added \"-bd\" options to bitgen command line."
|
||||
} else {
|
||||
puts "$procname: Existing bitgen \"-bd\" options unchanged."
|
||||
}
|
||||
|
||||
# Run data2mem to generate simulation files
|
||||
set data2mem_exe [auto_execok "data2mem"]
|
||||
puts "$procname: Running \"data2mem\" to create simulation files."
|
||||
eval exec $data2mem_exe $data2mem_sim
|
||||
|
||||
# Run data2mem if bitstream and updated BMM exist
|
||||
if {! $bitfile_exists} {
|
||||
puts "$procname: Bitstream does not exist. Not running \"data2mem\" to update bitstream."
|
||||
} elseif {! [file exist $mcs_bd_bmm_filepath]} {
|
||||
puts "$procname: The file \"${mcs_bmm_basename}_bd.bmm\" does not exist. Not running \"data2mem\" to update bitstream."
|
||||
} else {
|
||||
puts "$procname: Running \"data2mem\" to update bitstream with software."
|
||||
eval exec $data2mem_exe $data2mem_bit
|
||||
|
||||
# Replace original bitstream with data2mem output bitstream
|
||||
if {[file exists ${bitout_filename}]} {
|
||||
file copy -force "${bitout_filename}" "${bit_filename}"
|
||||
file delete -force "${bitout_filename}"
|
||||
}
|
||||
}
|
||||
|
||||
puts "$procname: Done."
|
||||
}
|
||||
|
||||
# Add help for Project Navigator
|
||||
if {! [mcs_using_planahead]} {
|
||||
if {[array names ::xilinx::short_help microblaze_mcs] == ""} {
|
||||
set ::xilinx::short_help(microblaze_mcs) {Information about MicroBlaze MCS IP specific commands}
|
||||
set ::xilinx::task_lib(microblaze_mcs) libTclTaskObject
|
||||
|
||||
proc ::xilinx::microblaze_mcs {args} {
|
||||
set hlp ""
|
||||
if {[llength $args] == 1 && [lindex $args 0] == "-help"} {
|
||||
set hlp "
|
||||
Tcl command: microblaze_mcs_setup \(perform MicroBlaze MCS specific setup\):
|
||||
|
||||
The microblaze_mcs_setup command is used to create a merged BMM file,
|
||||
which defines the local memory of all MicroBlaze MCS instances in the
|
||||
project \(if more than one instance), and set Translate process properties
|
||||
to add the \"-bm\" option indicating the used BMM file.
|
||||
|
||||
The command should be invoked before running implementation, but after
|
||||
the MicroBlaze MCS instance has been generated. It is automatically
|
||||
invoked when sourcing the \"microblaze_mcs_setup.tcl\" script.
|
||||
|
||||
Tcl command: microblaze_mcs_data2mem \(update bit stream with software\):
|
||||
|
||||
The microblaze_mcs_data2mem command is used to update the bit stream
|
||||
with one or more ELF files \(software programs\) given as arguments,
|
||||
generate corresponding MEM files for simulation, and set Bitgen process
|
||||
properties to add the \"-bd\" option indicating the ELF files.
|
||||
|
||||
If no argument is given, the bit stream is updated with the microblaze
|
||||
boot loop ELF file, which ensures that the processor executes an infinite
|
||||
loop.
|
||||
|
||||
The procedure should be invoked after the system has been implemented. It
|
||||
must also be invoked again when an ELF file name is changed, or when the
|
||||
content of an ELF file is changed. If the system is reimplemented without
|
||||
changing the software, the procedure need not be invoked again, due to the
|
||||
Bitgen \"-bd\" option.
|
||||
"
|
||||
}
|
||||
set hlp
|
||||
}
|
||||
}
|
||||
}
|
||||
|
||||
namespace export microblaze_mcs_setup microblaze_mcs_data2mem
|
||||
}
|
||||
|
||||
namespace import microblaze_mcs::microblaze_mcs_setup microblaze_mcs::microblaze_mcs_data2mem
|
||||
|
||||
# Call the microblaze_mcs_setup procedure
|
||||
microblaze_mcs_setup
|
5833
ipcore_dir/microblaze_mcs/system_template.tcl
Normal file
5833
ipcore_dir/microblaze_mcs/system_template.tcl
Normal file
File diff suppressed because it is too large
Load Diff
35
ipcore_dir/microblaze_mcs_bd.bmm
Normal file
35
ipcore_dir/microblaze_mcs_bd.bmm
Normal file
|
@ -0,0 +1,35 @@
|
|||
// BMM LOC annotation file.
|
||||
//
|
||||
// Release 14.6 - P.20131013, build 3.0.10 Apr 3, 2013
|
||||
// Copyright (c) 1995-2020 Xilinx, Inc. All rights reserved.
|
||||
|
||||
|
||||
///////////////////////////////////////////////////////////////////////////////
|
||||
//
|
||||
// Processor 'microblaze_mcs', ID 100, memory map.
|
||||
//
|
||||
///////////////////////////////////////////////////////////////////////////////
|
||||
|
||||
ADDRESS_MAP microblaze_mcs MICROBLAZE-LE 100
|
||||
|
||||
///////////////////////////////////////////////////////////////////////////////
|
||||
//
|
||||
// Processor 'microblaze_mcs' address space 'lmb_bram' 0x00000000:0x00003FFF (16 KBytes).
|
||||
//
|
||||
///////////////////////////////////////////////////////////////////////////////
|
||||
|
||||
ADDRESS_SPACE lmb_bram RAMB16 [0x00000000:0x00003FFF]
|
||||
BUS_BLOCK
|
||||
mcs_0/U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[0].RAMB16_S4_1 RAMB16 [31:28] [0:4095] INPUT = microblaze_mcs.lmb_bram_0.mem PLACED = X0Y16;
|
||||
mcs_0/U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[1].RAMB16_S4_1 RAMB16 [27:24] [0:4095] INPUT = microblaze_mcs.lmb_bram_1.mem PLACED = X0Y20;
|
||||
mcs_0/U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[2].RAMB16_S4_1 RAMB16 [23:20] [0:4095] INPUT = microblaze_mcs.lmb_bram_2.mem PLACED = X0Y14;
|
||||
mcs_0/U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[3].RAMB16_S4_1 RAMB16 [19:16] [0:4095] INPUT = microblaze_mcs.lmb_bram_3.mem PLACED = X0Y18;
|
||||
mcs_0/U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[4].RAMB16_S4_1 RAMB16 [15:12] [0:4095] INPUT = microblaze_mcs.lmb_bram_4.mem PLACED = X0Y24;
|
||||
mcs_0/U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[5].RAMB16_S4_1 RAMB16 [11:8] [0:4095] INPUT = microblaze_mcs.lmb_bram_5.mem PLACED = X0Y26;
|
||||
mcs_0/U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[6].RAMB16_S4_1 RAMB16 [7:4] [0:4095] INPUT = microblaze_mcs.lmb_bram_6.mem PLACED = X0Y28;
|
||||
mcs_0/U0/lmb_bram_I/RAM_Inst/Using_B16_S4.The_BRAMs[7].RAMB16_S4_1 RAMB16 [3:0] [0:4095] INPUT = microblaze_mcs.lmb_bram_7.mem PLACED = X0Y22;
|
||||
END_BUS_BLOCK;
|
||||
END_ADDRESS_SPACE;
|
||||
|
||||
END_ADDRESS_MAP;
|
||||
|
18
ipcore_dir/microblaze_mcs_flist.txt
Normal file
18
ipcore_dir/microblaze_mcs_flist.txt
Normal file
|
@ -0,0 +1,18 @@
|
|||
# Output products list for <microblaze_mcs>
|
||||
mb_bootloop_le.elf
|
||||
microblaze_mcs/mb_bootloop_le.elf
|
||||
microblaze_mcs/microblaze_mcs_setup.tcl
|
||||
microblaze_mcs/system_template.tcl
|
||||
microblaze_mcs.asy
|
||||
microblaze_mcs.bmm
|
||||
microblaze_mcs.gise
|
||||
microblaze_mcs.ngc
|
||||
microblaze_mcs.sym
|
||||
microblaze_mcs.v
|
||||
microblaze_mcs.veo
|
||||
microblaze_mcs.xco
|
||||
microblaze_mcs.xise
|
||||
microblaze_mcs_flist.txt
|
||||
microblaze_mcs_readme.txt
|
||||
microblaze_mcs_sdk.xml
|
||||
microblaze_mcs_setup.tcl
|
78
ipcore_dir/microblaze_mcs_readme.txt
Normal file
78
ipcore_dir/microblaze_mcs_readme.txt
Normal file
|
@ -0,0 +1,78 @@
|
|||
The following files were generated for 'microblaze_mcs' in directory
|
||||
/home/tim/Projects/z80/hdmi/ipcore_dir/
|
||||
|
||||
Generate XCO file:
|
||||
CORE Generator input file containing the parameters used to generate a core.
|
||||
|
||||
* microblaze_mcs.xco
|
||||
|
||||
Generate Implementation Netlist:
|
||||
Binary Xilinx implementation netlist files containing the information
|
||||
required to implement the module in a Xilinx (R) FPGA.
|
||||
|
||||
* microblaze_mcs.ngc
|
||||
|
||||
Misc Files Generator:
|
||||
Please see the core data sheet.
|
||||
|
||||
* microblaze_mcs/mb_bootloop_le.elf
|
||||
* microblaze_mcs/microblaze_mcs_setup.tcl
|
||||
* microblaze_mcs/system_template.tcl
|
||||
|
||||
Generate Script:
|
||||
Execute microblaze_mcs_gen_script.tcl for generating bmm files and SDK HW
|
||||
import file.
|
||||
|
||||
* mb_bootloop_le.elf
|
||||
* microblaze_mcs.bmm
|
||||
* microblaze_mcs_sdk.xml
|
||||
* microblaze_mcs_setup.tcl
|
||||
|
||||
Generate Instantiation Templates:
|
||||
Template files containing code that can be used as a model for instantiating
|
||||
a CORE Generator module in an HDL design.
|
||||
|
||||
* microblaze_mcs.veo
|
||||
|
||||
RTL Simulation Model Generator:
|
||||
Please see the core data sheet.
|
||||
|
||||
* microblaze_mcs.v
|
||||
|
||||
Simulation Netlist Update Script:
|
||||
Execute microblaze_mcs_sim_script.tcl to add INIT_FILE filenames to
|
||||
simulation netlist.
|
||||
|
||||
* microblaze_mcs.v
|
||||
|
||||
Deliver IP Symbol:
|
||||
Graphical symbol information file. Used by the ISE tools and some third party
|
||||
tools to create a symbol representing the core.
|
||||
|
||||
* microblaze_mcs.asy
|
||||
|
||||
SYM file generator:
|
||||
Generate a SYM file for compatibility with legacy flows
|
||||
|
||||
* microblaze_mcs.sym
|
||||
|
||||
Synthesis ISE Generator:
|
||||
Please see the core data sheet.
|
||||
|
||||
* microblaze_mcs.gise
|
||||
* microblaze_mcs.xise
|
||||
|
||||
Deliver Readme:
|
||||
Readme file for the IP.
|
||||
|
||||
* microblaze_mcs_readme.txt
|
||||
|
||||
Generate FLIST file:
|
||||
Text file listing all of the output files produced when a customized core was
|
||||
generated in the CORE Generator.
|
||||
|
||||
* microblaze_mcs_flist.txt
|
||||
|
||||
Please see the Xilinx CORE Generator online help for further details on
|
||||
generated files and how to use them.
|
||||
|
5833
ipcore_dir/microblaze_mcs_sdk.xml
Normal file
5833
ipcore_dir/microblaze_mcs_sdk.xml
Normal file
File diff suppressed because it is too large
Load Diff
539
ipcore_dir/microblaze_mcs_setup.tcl
Normal file
539
ipcore_dir/microblaze_mcs_setup.tcl
Normal file
|
@ -0,0 +1,539 @@
|
|||
###############################################################################
|
||||
##
|
||||
## (c) Copyright 2012 Xilinx, Inc. All rights reserved.
|
||||
##
|
||||
## This file contains confidential and proprietary information
|
||||
## of Xilinx, Inc. and is protected under U.S. and
|
||||
## international copyright and other intellectual property
|
||||
## laws.
|
||||
##
|
||||
## DISCLAIMER
|
||||
## This disclaimer is not a license and does not grant any
|
||||
## rights to the materials distributed herewith. Except as
|
||||
## otherwise provided in a valid license issued to you by
|
||||
## Xilinx, and to the maximum extent permitted by applicable
|
||||
## law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
|
||||
## WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
|
||||
## AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
|
||||
## BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
|
||||
## INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
|
||||
## (2) Xilinx shall not be liable (whether in contract or tort,
|
||||
## including negligence, or under any other theory of
|
||||
## liability) for any loss or damage of any kind or nature
|
||||
## related to, arising under or in connection with these
|
||||
## materials, including for any direct, or any indirect,
|
||||
## special, incidental, or consequential loss or damage
|
||||
## (including loss of data, profits, goodwill, or any type of
|
||||
## loss or damage suffered as a result of any action brought
|
||||
## by a third party) even if such damage or loss was
|
||||
## reasonably foreseeable or Xilinx had been advised of the
|
||||
## possibility of the same.
|
||||
##
|
||||
## CRITICAL APPLICATIONS
|
||||
## Xilinx products are not designed or intended to be fail-
|
||||
## safe, or for use in any application requiring fail-safe
|
||||
## performance, such as life-support or safety devices or
|
||||
## systems, Class III medical devices, nuclear facilities,
|
||||
## applications related to the deployment of airbags, or any
|
||||
## other applications that could lead to death, personal
|
||||
## injury, or severe property or environmental damage
|
||||
## (individually and collectively, "Critical
|
||||
## Applications"). Customer assumes the sole risk and
|
||||
## liability of any use of Xilinx products in Critical
|
||||
## Applications, subject only to applicable laws and
|
||||
## regulations governing limitations on product liability.
|
||||
##
|
||||
## THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
|
||||
## PART OF THIS FILE AT ALL TIMES.
|
||||
##
|
||||
###############################################################################
|
||||
##
|
||||
## microblaze_mcs_setup.tcl
|
||||
##
|
||||
###############################################################################
|
||||
#
|
||||
# This script should be sourced after CORE Generator has been used to generate
|
||||
# a MicroBlaze MCS instance, either when creating a new or changing an existing
|
||||
# instance.
|
||||
#
|
||||
# Run the script in the PlanAhead Tcl Console by typically using:
|
||||
#
|
||||
# source -notrace \
|
||||
# project_1.srcs/sources_1/ip/microblaze_mcs_v1_4_0/microblaze_mcs_setup.tcl
|
||||
#
|
||||
# Run the script in the Project Navigator Tcl Console by typically using:
|
||||
#
|
||||
# Command> source ipcore_dir/microblaze_mcs_setup.tcl
|
||||
#
|
||||
# Use the menu command "View -> Panels -> Tcl Console" to show the Tcl Console
|
||||
# in the Project Navigator, if it is not visible.
|
||||
#
|
||||
###############################################################################
|
||||
#
|
||||
# This script contains two exported Tcl procedures:
|
||||
#
|
||||
# o The first, "microblaze_mcs_setup", is used to create a merged BMM file,
|
||||
# which defines the local memory of all MicroBlaze MCS instances in the
|
||||
# project (if more than one instance), and set Translate process properties
|
||||
# to add the "-bm" option indicating the used BMM file.
|
||||
#
|
||||
# The procedure is automatically invoked when sourcing this script, but
|
||||
# can also subsequently be invoked with "microblaze_mcs_setup".
|
||||
#
|
||||
# The procedure should be invoked before running implementation, but after
|
||||
# the MicroBlaze MCS instance has been generated.
|
||||
#
|
||||
# o The second, "microblaze_mcs_data2mem", is used to update the bit stream
|
||||
# with one or more ELF files (software programs) given as arguments, generate
|
||||
# corresponding MEM files for simulation, and set Bitgen process properties
|
||||
# to add the "-bd" option indicating the ELF files.
|
||||
#
|
||||
# If no argument is given, the bit stream is updated with the microblaze
|
||||
# boot loop ELF file, which ensures that the processor executes an infinite
|
||||
# loop.
|
||||
#
|
||||
# The procedure should be invoked after the system has been implemented. It
|
||||
# must also be invoked again when an ELF file name is changed, or when the
|
||||
# content of an ELF file is changed. If the system is reimplemented without
|
||||
# changing the software, the procedure need not be invoked again, due to the
|
||||
# Bitgen "-bd" option.
|
||||
#
|
||||
###############################################################################
|
||||
|
||||
namespace eval microblaze_mcs {
|
||||
|
||||
# Determine if using planAhead or Project Navigator
|
||||
proc mcs_using_planahead {} {
|
||||
return [expr [string first "planAhead" [info nameofexecutable]] != -1]
|
||||
}
|
||||
|
||||
# Find all MicroBlaze MCS instances in the project
|
||||
# Return a list of lists with instance name and file name
|
||||
proc mcs_find_instances {} {
|
||||
set mcs_instances {}
|
||||
set xco_filenames {}
|
||||
if {[mcs_using_planahead]} {
|
||||
set found [get_files -quiet -filter {IS_ENABLED==1} "*.xci"]
|
||||
if {$found == ""} {
|
||||
set found [get_files -quiet -filter {IS_ENABLED==1} "*.xco"]
|
||||
if {[string first ".xco" $found] + 4 == [string length $found]} {
|
||||
lappend xco_filenames "$found"
|
||||
} else {
|
||||
set xco_filenames $found
|
||||
}
|
||||
} elseif {[string first ".xci" $found] + 4 == [string length $found]} {
|
||||
lappend xco_filenames [string map {.xci .xco} $found]
|
||||
} else {
|
||||
foreach item $found {
|
||||
lappend xco_filenames [string map {.xci .xco} $item]
|
||||
}
|
||||
}
|
||||
} else {
|
||||
set found [search "*.xco"]
|
||||
collection foreach item $found {
|
||||
lappend xco_filenames [object name $item]
|
||||
}
|
||||
}
|
||||
|
||||
for {set index 0} {$index < [llength $xco_filenames]} {incr index} {
|
||||
set xco_filename [lindex $xco_filenames $index]
|
||||
|
||||
# Check if the xco file is a MicroBlaze MCS IP Core
|
||||
set xco_file [open $xco_filename "r"]
|
||||
set xco_data [read $xco_file]
|
||||
close $xco_file
|
||||
if {[regexp {microblaze_mcs} $xco_data]} {
|
||||
regexp {CSET component_name=([A-Za-z0-9_]*)} $xco_data match inst
|
||||
lappend mcs_instances [list $xco_filename $inst]
|
||||
}
|
||||
}
|
||||
return $mcs_instances
|
||||
}
|
||||
|
||||
# Get current options
|
||||
proc mcs_get_options {step} {
|
||||
if {[mcs_using_planahead]} {
|
||||
set dir [get_property directory [current_project]]
|
||||
set name [get_property name [current_project]]
|
||||
set run [current_run -quiet]
|
||||
set psg_filename "[file join ${dir} ${name}.data runs ${run}.psg]"
|
||||
if {[file exist $psg_filename]} {
|
||||
set psg_file [open $psg_filename "r"]
|
||||
set psg_data [read $psg_file]
|
||||
close $psg_file
|
||||
|
||||
set search "<Step Id=\"[string tolower $step]\">"
|
||||
append search {[\n\t ]*<Option Id="MoreOptsStr"><\!\[CDATA\[([^[]*)\]\]>}
|
||||
if {[regexp $search $psg_data match option]} {
|
||||
return $option
|
||||
}
|
||||
}
|
||||
return ""
|
||||
} else {
|
||||
return [project get "Other $step Command Line Options"]
|
||||
}
|
||||
}
|
||||
|
||||
# Handle MicroBlaze BMM files: Create merged file and set ngdbuild options
|
||||
proc microblaze_mcs_setup {} {
|
||||
set procname "microblaze_mcs_setup"
|
||||
|
||||
# Find all MicroBlaze MCS instances in the project
|
||||
set mcs_instances [mcs_find_instances]
|
||||
set mcs_instances_length [llength $mcs_instances]
|
||||
set cores "cores"
|
||||
if {$mcs_instances_length == 1} { set cores "core" }
|
||||
puts "$procname: Found $mcs_instances_length MicroBlaze MCS ${cores}."
|
||||
|
||||
if {$mcs_instances_length == 0} {
|
||||
return
|
||||
}
|
||||
|
||||
# Determine project directory
|
||||
if {[mcs_using_planahead]} {
|
||||
set projdir [get_property "directory" [current_project]]
|
||||
} else {
|
||||
set projdir [pwd]
|
||||
}
|
||||
|
||||
# Handle BMM files: create merged file if more than one instance
|
||||
if {$mcs_instances_length > 1} {
|
||||
|
||||
# Read all MicroBlaze MCS BMM files and merge the data
|
||||
# Assign unique IDs (last number on ADDRESS_MAP line)
|
||||
set bmm_data ""
|
||||
set bmm_missing ""
|
||||
set index 0
|
||||
set bmm_id 100
|
||||
foreach mcs_instance $mcs_instances {
|
||||
set mcs_xco_filename [lindex $mcs_instance 0]
|
||||
set mcs_instance_name [lindex $mcs_instance 1]
|
||||
set dir "[file dirname $mcs_xco_filename]"
|
||||
set bmm_filename "[file join $dir "${mcs_instance_name}.bmm"]"
|
||||
if {[file exist $bmm_filename]} {
|
||||
set bmm_file [open $bmm_filename "r"]
|
||||
set bmm_file_data [read $bmm_file]
|
||||
append bmm_data \
|
||||
[regsub {MICROBLAZE-LE 100} $bmm_file_data "MICROBLAZE-LE $bmm_id"]
|
||||
set bmm_id [expr $bmm_id + 100]
|
||||
close $bmm_file
|
||||
} else {
|
||||
append bmm_missing "${mcs_instance_name}, "
|
||||
}
|
||||
incr index
|
||||
}
|
||||
|
||||
if {[string length $bmm_missing] != 0} {
|
||||
set bmm_missing [string trimright $bmm_missing ", "]
|
||||
puts "$procname: ERROR: Could not find a BMM file for ${bmm_missing}. Please regenerate the MicroBlaze MCS instances."
|
||||
return
|
||||
}
|
||||
|
||||
# Determine merged BMM file name
|
||||
set mcs_bmm_basename "microblaze_mcs_merged"
|
||||
set mcs_bmm_filepath "[file join $projdir ${mcs_bmm_basename}.bmm]"
|
||||
|
||||
# Check if merged BMM file already exists
|
||||
set bmm_file_data ""
|
||||
if {[file exist $mcs_bmm_filepath]} {
|
||||
set bmm_file [open $mcs_bmm_filepath "r"]
|
||||
gets $bmm_file
|
||||
set bmm_file_data [read $bmm_file]
|
||||
close $bmm_file
|
||||
}
|
||||
|
||||
# Output merged data on project directory level, if not found or changed
|
||||
if {$bmm_file_data != $bmm_data} {
|
||||
set bmm_file [open $mcs_bmm_filepath "w"]
|
||||
set date [clock format [clock seconds]]
|
||||
puts $bmm_file "// Automatically generated by \"microblaze_mcs_setup.tcl\" on $date"
|
||||
puts -nonewline $bmm_file $bmm_data
|
||||
close $bmm_file
|
||||
if {[file exist $mcs_bmm_filepath]} {
|
||||
puts "$procname: Modified \"${mcs_bmm_basename}.bmm\"."
|
||||
} else {
|
||||
puts "$procname: Created \"${mcs_bmm_basename}.bmm\"."
|
||||
}
|
||||
} else {
|
||||
puts "$procname: Existing \"${mcs_bmm_basename}.bmm\" unchanged."
|
||||
}
|
||||
} else {
|
||||
|
||||
# Determine BMM file name for single instance
|
||||
set mcs_xco_filename [lindex [lindex $mcs_instances 0] 0]
|
||||
set mcs_bmm_basename [lindex [lindex $mcs_instances 0] 1]
|
||||
set dir "[file dirname $mcs_xco_filename]"
|
||||
set mcs_bmm_filepath "[file join $dir "${mcs_bmm_basename}.bmm"]"
|
||||
if {! [file exist $mcs_bmm_filepath]} {
|
||||
puts "$procname: ERROR: Could not find a BMM file for ${mcs_bmm_basename}. Please regenerate the MicroBlaze MCS instance."
|
||||
return
|
||||
}
|
||||
}
|
||||
|
||||
# Determine new ngdbuild "-bm" option
|
||||
if {[mcs_using_planahead]} {
|
||||
set new_option "-bm \"$mcs_bmm_filepath\""
|
||||
} else {
|
||||
set mcs_bmm_relpath [regsub "${projdir}\[\\\/\]" "$mcs_bmm_filepath" {}]
|
||||
set new_option "-bm \"$mcs_bmm_relpath\""
|
||||
}
|
||||
|
||||
# Get current ngdbuild options
|
||||
set options [mcs_get_options "Ngdbuild"]
|
||||
|
||||
# Strip and extract current ngdbuild "-bm" option
|
||||
regsub {\-bm[^-]*} $options {} stripped_options
|
||||
regsub {.*?(-bm[^-]).*} $options {\1} bm_option
|
||||
|
||||
# Set the ngdbuild "-bm" option if it has been modified
|
||||
if {$new_option != $bm_option} {
|
||||
set options [string trim "$stripped_options $new_option"]
|
||||
if {[mcs_using_planahead]} {
|
||||
set run [current_run -quiet]
|
||||
config_run $run \
|
||||
-quiet -program ngdbuild -option {More Options} -value $options
|
||||
} else {
|
||||
project set {Other Ngdbuild Command Line Options} $options
|
||||
}
|
||||
puts "$procname: Added \"-bm\" option for \"${mcs_bmm_basename}.bmm\" to ngdbuild command line options."
|
||||
} else {
|
||||
puts "$procname: Existing ngdbuild \"-bm\" option unchanged."
|
||||
}
|
||||
|
||||
puts "$procname: Done."
|
||||
}
|
||||
|
||||
# Handle MicroBlaze MCS ELF files: Run data2mem and set bitgen options
|
||||
proc microblaze_mcs_data2mem {args} {
|
||||
set procname "microblaze_mcs_data2mem"
|
||||
|
||||
# Find all MicroBlaze MCS instances in the project
|
||||
set mcs_instances [mcs_find_instances]
|
||||
set mcs_instances_length [llength $mcs_instances]
|
||||
set cores "cores"
|
||||
if {$mcs_instances_length == 1} { set cores "core" }
|
||||
puts "$procname: Found $mcs_instances_length MicroBlaze MCS ${cores}."
|
||||
|
||||
if {$mcs_instances_length == 0} {
|
||||
return
|
||||
}
|
||||
|
||||
# Check arguments
|
||||
if {[llength $args] > $mcs_instances_length} {
|
||||
puts "$procname: ERROR: Too many arguments. At most $mcs_instances_length ELF files should be given."
|
||||
return
|
||||
}
|
||||
|
||||
# Determine device name
|
||||
if {[mcs_using_planahead]} {
|
||||
set device_name [get_property "part" [current_project]]
|
||||
} else {
|
||||
set device [project get "Device"]
|
||||
set pack [project get "Package"]
|
||||
set speed [project get "Speed"]
|
||||
set device_name "${device}${pack}${speed}"
|
||||
}
|
||||
|
||||
# Determine project directory
|
||||
if {[mcs_using_planahead]} {
|
||||
set projdir [get_property "directory" [current_project]]
|
||||
} else {
|
||||
set projdir [pwd]
|
||||
}
|
||||
|
||||
# Find BMM file
|
||||
if {$mcs_instances_length > 1} {
|
||||
set mcs_bmm_basename "microblaze_mcs_merged"
|
||||
set mcs_bmm_filepath "[file join $projdir ${mcs_bmm_basename}.bmm]"
|
||||
set mcs_bd_bmm_filepath "[file join $projdir ${mcs_bmm_basename}_bd.bmm]"
|
||||
if {! [file exist $mcs_bmm_filepath]} {
|
||||
puts "$procname: ERROR: Could not find $mcs_bmm_basename.bmm. Please invoke \"microblaze_mcs_setup\" and implement the design."
|
||||
return
|
||||
}
|
||||
} else {
|
||||
set mcs_xco_filename [lindex [lindex $mcs_instances 0] 0]
|
||||
set mcs_bmm_basename [lindex [lindex $mcs_instances 0] 1]
|
||||
set dir "[file dirname $mcs_xco_filename]"
|
||||
set mcs_bmm_filepath "[file join $dir "${mcs_bmm_basename}.bmm"]"
|
||||
set mcs_bd_bmm_filepath "[file join $dir ${mcs_bmm_basename}_bd.bmm]"
|
||||
if {! [file exist $mcs_bmm_filepath]} {
|
||||
puts "$procname: ERROR: Could not find $mcs_bmm_basename.bmm. Please regenerate the MicroBlaze MCS instance."
|
||||
return
|
||||
}
|
||||
}
|
||||
|
||||
# Create data2mem commands and bitgen "-bd" options
|
||||
set bootloop_elf "mb_bootloop_le.elf"
|
||||
set data2mem_cmd "-p $device_name"
|
||||
set data2mem_bit "$data2mem_cmd -bm \"${mcs_bd_bmm_filepath}\""
|
||||
set data2mem_sim "$data2mem_cmd -bm \"${mcs_bmm_filepath}\""
|
||||
set msg_list {}
|
||||
set new_options ""
|
||||
|
||||
foreach mcs_instance $mcs_instances arg $args {
|
||||
set mcs_xco_filename [lindex $mcs_instance 0]
|
||||
set mcs_instance_name [lindex $mcs_instance 1]
|
||||
set mcs_xco_dir "[file dirname $mcs_xco_filename]"
|
||||
set bmm_filename "[file join $mcs_xco_dir "${mcs_instance_name}.bmm"]"
|
||||
|
||||
# Use boot loop if no ELF file argument given
|
||||
if {$arg == ""} {
|
||||
set arg "[file join $mcs_xco_dir $bootloop_elf]"
|
||||
}
|
||||
|
||||
# Check if ELF file exists
|
||||
if {! [file exists $arg]} {
|
||||
puts "$procname: ERROR: Could not find \"$arg\". Please make sure the file exists."
|
||||
return
|
||||
}
|
||||
|
||||
# Check if file is an ELF file (only allow .elf extension)
|
||||
if {[file extension $arg] != ".elf"} {
|
||||
puts "$procname: ERROR: \"$arg\" is not an ELF file."
|
||||
return
|
||||
}
|
||||
|
||||
# Must use absolute paths
|
||||
if {[mcs_using_planahead] && [file pathtype $arg] == "relative"} {
|
||||
set arg "[file join [pwd] $arg]"
|
||||
}
|
||||
|
||||
# Add message
|
||||
set tail [file tail $arg]
|
||||
if {$tail == $bootloop_elf} {
|
||||
lappend msg_list "$procname: Using bootloop for ${mcs_instance_name}"
|
||||
} else {
|
||||
lappend msg_list "$procname: Using \"$tail\" for ${mcs_instance_name}"
|
||||
}
|
||||
|
||||
append new_options " -bd \"$arg\" tag $mcs_instance_name"
|
||||
}
|
||||
append data2mem_bit $new_options
|
||||
append data2mem_sim $new_options
|
||||
set new_options [string trimleft $new_options]
|
||||
|
||||
foreach msg $msg_list {
|
||||
puts $msg
|
||||
}
|
||||
|
||||
if {[mcs_using_planahead]} {
|
||||
set run [current_run -quiet]
|
||||
set rundir [get_property directory $run]
|
||||
set top [get_property top [current_fileset] -quiet]
|
||||
set bit_basename "[file join $rundir ${top}]"
|
||||
|
||||
# Create default project_1.sim/sim_1 simulation directory
|
||||
set name [get_property name [current_project]]
|
||||
set simdir "[file join ${projdir} ${name}.sim sim_1 behav]"
|
||||
file mkdir $simdir
|
||||
|
||||
append data2mem_sim " -bx \"$simdir\""
|
||||
} else {
|
||||
set bit_basename "[project get {Output File Name}]"
|
||||
append data2mem_sim " -bx ."
|
||||
}
|
||||
set bit_filename "${bit_basename}.bit"
|
||||
set bitout_filename "${bit_basename}_out.bit"
|
||||
append data2mem_bit " -bt \"$bit_filename\" -o b \"$bitout_filename\""
|
||||
append data2mem_sim " -u"
|
||||
|
||||
# Get current bitgen options
|
||||
set options [mcs_get_options "Bitgen"]
|
||||
|
||||
# Strip and extract current bitgen "-bd" options
|
||||
regsub -all {\-bd[^-]*} $options {} stripped_options
|
||||
regsub {.*?(-bd[^-])} $options {\1} bd_options
|
||||
|
||||
# Set the bitgen "-bd" options if they have changed
|
||||
set bitfile_exists [file exists $bit_filename]
|
||||
if {$new_options != $bd_options} {
|
||||
set options [string trim "$stripped_options $new_options"]
|
||||
if {[mcs_using_planahead]} {
|
||||
if {! $bitfile_exists} {
|
||||
set_property -quiet add_step Bitgen $run
|
||||
}
|
||||
config_run $run \
|
||||
-quiet -program bitgen -option {More Options} -value $options
|
||||
} else {
|
||||
project set {Other Bitgen Command Line Options} $options
|
||||
}
|
||||
puts "$procname: Added \"-bd\" options to bitgen command line."
|
||||
} else {
|
||||
puts "$procname: Existing bitgen \"-bd\" options unchanged."
|
||||
}
|
||||
|
||||
# Run data2mem to generate simulation files
|
||||
set data2mem_exe [auto_execok "data2mem"]
|
||||
puts "$procname: Running \"data2mem\" to create simulation files."
|
||||
eval exec $data2mem_exe $data2mem_sim
|
||||
|
||||
# Run data2mem if bitstream and updated BMM exist
|
||||
if {! $bitfile_exists} {
|
||||
puts "$procname: Bitstream does not exist. Not running \"data2mem\" to update bitstream."
|
||||
} elseif {! [file exist $mcs_bd_bmm_filepath]} {
|
||||
puts "$procname: The file \"${mcs_bmm_basename}_bd.bmm\" does not exist. Not running \"data2mem\" to update bitstream."
|
||||
} else {
|
||||
puts "$procname: Running \"data2mem\" to update bitstream with software."
|
||||
eval exec $data2mem_exe $data2mem_bit
|
||||
|
||||
# Replace original bitstream with data2mem output bitstream
|
||||
if {[file exists ${bitout_filename}]} {
|
||||
file copy -force "${bitout_filename}" "${bit_filename}"
|
||||
file delete -force "${bitout_filename}"
|
||||
}
|
||||
}
|
||||
|
||||
puts "$procname: Done."
|
||||
}
|
||||
|
||||
# Add help for Project Navigator
|
||||
if {! [mcs_using_planahead]} {
|
||||
if {[array names ::xilinx::short_help microblaze_mcs] == ""} {
|
||||
set ::xilinx::short_help(microblaze_mcs) {Information about MicroBlaze MCS IP specific commands}
|
||||
set ::xilinx::task_lib(microblaze_mcs) libTclTaskObject
|
||||
|
||||
proc ::xilinx::microblaze_mcs {args} {
|
||||
set hlp ""
|
||||
if {[llength $args] == 1 && [lindex $args 0] == "-help"} {
|
||||
set hlp "
|
||||
Tcl command: microblaze_mcs_setup \(perform MicroBlaze MCS specific setup\):
|
||||
|
||||
The microblaze_mcs_setup command is used to create a merged BMM file,
|
||||
which defines the local memory of all MicroBlaze MCS instances in the
|
||||
project \(if more than one instance), and set Translate process properties
|
||||
to add the \"-bm\" option indicating the used BMM file.
|
||||
|
||||
The command should be invoked before running implementation, but after
|
||||
the MicroBlaze MCS instance has been generated. It is automatically
|
||||
invoked when sourcing the \"microblaze_mcs_setup.tcl\" script.
|
||||
|
||||
Tcl command: microblaze_mcs_data2mem \(update bit stream with software\):
|
||||
|
||||
The microblaze_mcs_data2mem command is used to update the bit stream
|
||||
with one or more ELF files \(software programs\) given as arguments,
|
||||
generate corresponding MEM files for simulation, and set Bitgen process
|
||||
properties to add the \"-bd\" option indicating the ELF files.
|
||||
|
||||
If no argument is given, the bit stream is updated with the microblaze
|
||||
boot loop ELF file, which ensures that the processor executes an infinite
|
||||
loop.
|
||||
|
||||
The procedure should be invoked after the system has been implemented. It
|
||||
must also be invoked again when an ELF file name is changed, or when the
|
||||
content of an ELF file is changed. If the system is reimplemented without
|
||||
changing the software, the procedure need not be invoked again, due to the
|
||||
Bitgen \"-bd\" option.
|
||||
"
|
||||
}
|
||||
set hlp
|
||||
}
|
||||
}
|
||||
}
|
||||
|
||||
namespace export microblaze_mcs_setup microblaze_mcs_data2mem
|
||||
}
|
||||
|
||||
namespace import microblaze_mcs::microblaze_mcs_setup microblaze_mcs::microblaze_mcs_data2mem
|
||||
|
||||
# Call the microblaze_mcs_setup procedure
|
||||
microblaze_mcs_setup
|
12
ipcore_dir/tmp/_xmsgs/netgen.xmsgs
Normal file
12
ipcore_dir/tmp/_xmsgs/netgen.xmsgs
Normal file
|
@ -0,0 +1,12 @@
|
|||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<!-- IMPORTANT: This is an internal file that has been generated
|
||||
by the Xilinx ISE software. Any direct editing or
|
||||
changes made to this file may result in unpredictable
|
||||
behavior or data corruption. It is strongly advised that
|
||||
users do not edit the contents of this file. -->
|
||||
<messages>
|
||||
<msg type="info" file="NetListWriters" num="633" delta="old" >The generated Verilog netlist contains Xilinx <arg fmt="%s" index="1">UNISIM</arg> simulation primitives and has to be used with <arg fmt="%s" index="2">UNISIM</arg> simulation library for correct compilation and simulation.
|
||||
</msg>
|
||||
|
||||
</messages>
|
||||
|
12
ipcore_dir/tmp/_xmsgs/pn_parser.xmsgs
Normal file
12
ipcore_dir/tmp/_xmsgs/pn_parser.xmsgs
Normal file
|
@ -0,0 +1,12 @@
|
|||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<!-- IMPORTANT: This is an internal file that has been generated -->
|
||||
<!-- by the Xilinx ISE software. Any direct editing or -->
|
||||
<!-- changes made to this file may result in unpredictable -->
|
||||
<!-- behavior or data corruption. It is strongly advised that -->
|
||||
<!-- users do not edit the contents of this file. -->
|
||||
<!-- -->
|
||||
<!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
|
||||
|
||||
<messages>
|
||||
</messages>
|
||||
|
18453
ipcore_dir/tmp/_xmsgs/xst.xmsgs
Normal file
18453
ipcore_dir/tmp/_xmsgs/xst.xmsgs
Normal file
File diff suppressed because it is too large
Load Diff
505
ipcore_dir/tmp/customization_gui.0.015686198145.out
Normal file
505
ipcore_dir/tmp/customization_gui.0.015686198145.out
Normal file
|
@ -0,0 +1,505 @@
|
|||
SET_FLAG DEBUG FALSE
|
||||
SET_FLAG MODE BATCH
|
||||
SET_FLAG STANDALONE_MODE FALSE
|
||||
SET_PREFERENCE devicefamily spartan6
|
||||
SET_PREFERENCE device xc6slx9
|
||||
SET_PREFERENCE speedgrade -2
|
||||
SET_PREFERENCE package tqg144
|
||||
SET_PREFERENCE verilogsim true
|
||||
SET_PREFERENCE vhdlsim false
|
||||
SET_PREFERENCE simulationfiles Behavioral
|
||||
SET_PREFERENCE busformat BusFormatAngleBracketNotRipped
|
||||
SET_PREFERENCE outputdirectory /home/tim/Projects/fpga/micro_test/ipcore_dir/
|
||||
SET_PREFERENCE workingdirectory /home/tim/Projects/fpga/micro_test/ipcore_dir/tmp/
|
||||
SET_PREFERENCE subworkingdirectory /home/tim/Projects/fpga/micro_test/ipcore_dir/tmp/_cg/
|
||||
SET_PREFERENCE transientdirectory /home/tim/Projects/fpga/micro_test/ipcore_dir/tmp/_cg/_dbg/
|
||||
SET_PREFERENCE designentry Verilog
|
||||
SET_PREFERENCE flowvendor Other
|
||||
SET_PREFERENCE addpads false
|
||||
SET_PREFERENCE projectname coregen
|
||||
SET_PREFERENCE formalverification false
|
||||
SET_PREFERENCE asysymbol false
|
||||
SET_PREFERENCE implementationfiletype Ngc
|
||||
SET_PREFERENCE foundationsym false
|
||||
SET_PREFERENCE createndf false
|
||||
SET_PREFERENCE removerpms false
|
||||
SET_PARAMETER Component_Name hdmi_clk
|
||||
SET_PARAMETER Use_Freq_Synth true
|
||||
SET_PARAMETER Use_Phase_Alignment false
|
||||
SET_PARAMETER Use_Min_Power false
|
||||
SET_PARAMETER Use_Dyn_Phase_Shift false
|
||||
SET_PARAMETER Use_Dyn_Reconfig false
|
||||
SET_PARAMETER Jitter_Sel No_Jitter
|
||||
SET_PARAMETER Use_Spread_Spectrum false
|
||||
SET_PARAMETER Use_Spread_Spectrum_1 false
|
||||
SET_PARAMETER Prim_In_Freq 50
|
||||
SET_PARAMETER In_Freq_Units Units_MHz
|
||||
SET_PARAMETER In_Jitter_Units Units_UI
|
||||
SET_PARAMETER Relative_Inclk REL_PRIMARY
|
||||
SET_PARAMETER Secondary_In_Freq 100.000
|
||||
SET_PARAMETER Jitter_Options UI
|
||||
SET_PARAMETER Clkin1_UI_Jitter 0.010
|
||||
SET_PARAMETER Clkin2_UI_Jitter 0.010
|
||||
SET_PARAMETER Prim_In_Jitter 0.010
|
||||
SET_PARAMETER Secondary_In_Jitter 0.010
|
||||
SET_PARAMETER Clkin1_Jitter_Ps 200.0
|
||||
SET_PARAMETER Clkin2_Jitter_Ps 100.0
|
||||
SET_PARAMETER Clkout2_Used true
|
||||
SET_PARAMETER Clkout3_Used false
|
||||
SET_PARAMETER Clkout4_Used false
|
||||
SET_PARAMETER Clkout5_Used false
|
||||
SET_PARAMETER Clkout6_Used false
|
||||
SET_PARAMETER Clkout7_Used false
|
||||
SET_PARAMETER Num_Out_Clks 2
|
||||
SET_PARAMETER Clk_Out1_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER Clk_Out2_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER Clk_Out3_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER Clk_Out4_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER Clk_Out5_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER Clk_Out6_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER Clk_Out7_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER primary_port CLK_IN1
|
||||
SET_PARAMETER CLK_OUT1_port CLK_OUT1
|
||||
SET_PARAMETER CLK_OUT2_port CLK_OUT2
|
||||
SET_PARAMETER CLK_OUT3_port CLK_OUT3
|
||||
SET_PARAMETER CLK_OUT4_port CLK_OUT4
|
||||
SET_PARAMETER CLK_OUT5_port CLK_OUT5
|
||||
SET_PARAMETER CLK_OUT6_port CLK_OUT6
|
||||
SET_PARAMETER CLK_OUT7_port CLK_OUT7
|
||||
SET_PARAMETER DADDR_port DADDR
|
||||
SET_PARAMETER DCLK_port DCLK
|
||||
SET_PARAMETER DRDY_port DRDY
|
||||
SET_PARAMETER DWE_port DWE
|
||||
SET_PARAMETER DIN_port DIN
|
||||
SET_PARAMETER DOUT_port DOUT
|
||||
SET_PARAMETER DEN_port DEN
|
||||
SET_PARAMETER PSCLK_port PSCLK
|
||||
SET_PARAMETER PSEN_port PSEN
|
||||
SET_PARAMETER PSINCDEC_port PSINCDEC
|
||||
SET_PARAMETER PSDONE_port PSDONE
|
||||
SET_PARAMETER Clkout1_Requested_Out_Freq 75
|
||||
SET_PARAMETER Clkout1_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout1_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Clkout2_Requested_Out_Freq 150
|
||||
SET_PARAMETER Clkout2_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout2_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Clkout3_Requested_Out_Freq 100.000
|
||||
SET_PARAMETER Clkout3_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout3_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Clkout4_Requested_Out_Freq 100.000
|
||||
SET_PARAMETER Clkout4_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout4_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Clkout5_Requested_Out_Freq 100.000
|
||||
SET_PARAMETER Clkout5_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout5_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Clkout6_Requested_Out_Freq 100.000
|
||||
SET_PARAMETER Clkout6_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout6_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Clkout7_Requested_Out_Freq 100.000
|
||||
SET_PARAMETER Clkout7_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout7_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Use_Max_I_Jitter false
|
||||
SET_PARAMETER Use_Min_O_Jitter false
|
||||
SET_PARAMETER Prim_Source Single_ended_clock_capable_pin
|
||||
SET_PARAMETER Use_Inclk_Switchover false
|
||||
SET_PARAMETER secondary_port CLK_IN2
|
||||
SET_PARAMETER Secondary_Source Single_ended_clock_capable_pin
|
||||
SET_PARAMETER Clkout1_Drives BUFG
|
||||
SET_PARAMETER Clkout2_Drives BUFG
|
||||
SET_PARAMETER Clkout3_Drives BUFG
|
||||
SET_PARAMETER Clkout4_Drives BUFG
|
||||
SET_PARAMETER Clkout5_Drives BUFG
|
||||
SET_PARAMETER Clkout6_Drives BUFG
|
||||
SET_PARAMETER Clkout7_Drives BUFG
|
||||
SET_PARAMETER Feedback_Source FDBK_AUTO
|
||||
SET_PARAMETER Clkfb_In_Signaling SINGLE
|
||||
SET_PARAMETER CLKFB_IN_port CLKFB_IN
|
||||
SET_PARAMETER CLKFB_IN_P_port CLKFB_IN_P
|
||||
SET_PARAMETER CLKFB_IN_N_port CLKFB_IN_N
|
||||
SET_PARAMETER CLKFB_OUT_port CLKFB_OUT
|
||||
SET_PARAMETER CLKFB_OUT_P_port CLKFB_OUT_P
|
||||
SET_PARAMETER CLKFB_OUT_N_port CLKFB_OUT_N
|
||||
SET_PARAMETER Platform lin64
|
||||
SET_PARAMETER Summary_Strings empty
|
||||
SET_PARAMETER Use_Locked false
|
||||
SET_PARAMETER calc_done DONE
|
||||
SET_PARAMETER Use_Reset false
|
||||
SET_PARAMETER Use_Power_Down false
|
||||
SET_PARAMETER Use_Status false
|
||||
SET_PARAMETER Use_Freeze false
|
||||
SET_PARAMETER Use_Clk_Valid false
|
||||
SET_PARAMETER Use_Inclk_Stopped false
|
||||
SET_PARAMETER Use_Clkfb_Stopped false
|
||||
SET_PARAMETER RESET_port RESET
|
||||
SET_PARAMETER LOCKED_port LOCKED
|
||||
SET_PARAMETER Power_Down_port POWER_DOWN
|
||||
SET_PARAMETER CLK_VALID_port CLK_VALID
|
||||
SET_PARAMETER STATUS_port STATUS
|
||||
SET_PARAMETER CLK_IN_SEL_port CLK_IN_SEL
|
||||
SET_PARAMETER INPUT_CLK_STOPPED_port INPUT_CLK_STOPPED
|
||||
SET_PARAMETER CLKFB_STOPPED_port CLKFB_STOPPED
|
||||
SET_PARAMETER Override_Mmcm false
|
||||
SET_PARAMETER Mmcm_Notes None
|
||||
SET_PARAMETER Mmcm_Divclk_Divide 1
|
||||
SET_PARAMETER Mmcm_Bandwidth OPTIMIZED
|
||||
SET_PARAMETER Mmcm_Clkfbout_Mult_F 4.000
|
||||
SET_PARAMETER Mmcm_Clkfbout_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkfbout_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkin1_Period 10.000
|
||||
SET_PARAMETER Mmcm_Clkin2_Period 10.000
|
||||
SET_PARAMETER Mmcm_Clkout4_Cascade false
|
||||
SET_PARAMETER Mmcm_Clock_Hold false
|
||||
SET_PARAMETER Mmcm_Compensation ZHOLD
|
||||
SET_PARAMETER Mmcm_Ref_Jitter1 0.010
|
||||
SET_PARAMETER Mmcm_Ref_Jitter2 0.010
|
||||
SET_PARAMETER Mmcm_Startup_Wait false
|
||||
SET_PARAMETER Mmcm_Clkout0_Divide_F 4.000
|
||||
SET_PARAMETER Mmcm_Clkout0_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout0_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout0_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkout1_Divide 1
|
||||
SET_PARAMETER Mmcm_Clkout1_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout1_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout1_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkout2_Divide 1
|
||||
SET_PARAMETER Mmcm_Clkout2_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout2_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout2_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkout3_Divide 1
|
||||
SET_PARAMETER Mmcm_Clkout3_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout3_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout3_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkout4_Divide 1
|
||||
SET_PARAMETER Mmcm_Clkout4_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout4_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout4_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkout5_Divide 1
|
||||
SET_PARAMETER Mmcm_Clkout5_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout5_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout5_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkout6_Divide 1
|
||||
SET_PARAMETER Mmcm_Clkout6_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout6_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout6_Use_Fine_Ps false
|
||||
SET_PARAMETER Override_Dcm false
|
||||
SET_PARAMETER Dcm_Notes None
|
||||
SET_PARAMETER Dcm_Clkdv_Divide 2.0
|
||||
SET_PARAMETER Dcm_Clkfx_Divide 2
|
||||
SET_PARAMETER Dcm_Clkfx_Multiply 3
|
||||
SET_PARAMETER Dcm_Clkin_Divide_By_2 false
|
||||
SET_PARAMETER Dcm_Clkin_Period 20.000
|
||||
SET_PARAMETER Dcm_Clkout_Phase_Shift NONE
|
||||
SET_PARAMETER Dcm_Deskew_Adjust SYSTEM_SYNCHRONOUS
|
||||
SET_PARAMETER Dcm_Phase_Shift 0
|
||||
SET_PARAMETER Dcm_Clk_Feedback NONE
|
||||
SET_PARAMETER Dcm_Startup_Wait false
|
||||
SET_PARAMETER Dcm_Clk_Out1_Port CLKFX
|
||||
SET_PARAMETER Dcm_Clk_Out2_Port CLK0
|
||||
SET_PARAMETER Dcm_Clk_Out3_Port CLK0
|
||||
SET_PARAMETER Dcm_Clk_Out4_Port CLK0
|
||||
SET_PARAMETER Dcm_Clk_Out5_Port CLK0
|
||||
SET_PARAMETER Dcm_Clk_Out6_Port CLK0
|
||||
SET_PARAMETER Override_Dcm_Clkgen false
|
||||
SET_PARAMETER Dcm_Clkgen_Notes None
|
||||
SET_PARAMETER Dcm_Clkgen_Clkfx_Divide 1
|
||||
SET_PARAMETER Dcm_Clkgen_Clkfx_Multiply 4
|
||||
SET_PARAMETER Dcm_Clkgen_Clkfxdv_Divide 2
|
||||
SET_PARAMETER Dcm_Clkgen_Clkfx_Md_Max 0.000
|
||||
SET_PARAMETER Dcm_Clkgen_Startup_Wait false
|
||||
SET_PARAMETER Dcm_Clkgen_Clkin_Period 10.000
|
||||
SET_PARAMETER Dcm_Clkgen_Spread_Spectrum NONE
|
||||
SET_PARAMETER Dcm_Clkgen_Clk_Out1_Port CLKFX
|
||||
SET_PARAMETER Dcm_Clkgen_Clk_Out2_Port CLKFX
|
||||
SET_PARAMETER Dcm_Clkgen_Clk_Out3_Port CLKFX
|
||||
SET_PARAMETER Override_Pll false
|
||||
SET_PARAMETER Pll_Notes None
|
||||
SET_PARAMETER Pll_Bandwidth OPTIMIZED
|
||||
SET_PARAMETER Pll_Clkfbout_Mult 9
|
||||
SET_PARAMETER Pll_Clkfbout_Phase 0.000
|
||||
SET_PARAMETER Pll_Clk_Feedback CLKFBOUT
|
||||
SET_PARAMETER Pll_Divclk_Divide 1
|
||||
SET_PARAMETER Pll_Clkin_Period 20.000
|
||||
SET_PARAMETER Pll_Compensation INTERNAL
|
||||
SET_PARAMETER Pll_Ref_Jitter 0.010
|
||||
SET_PARAMETER Pll_Clkout0_Divide 6
|
||||
SET_PARAMETER Pll_Clkout0_Duty_Cycle 0.500
|
||||
SET_PARAMETER Pll_Clkout0_Phase 0.000
|
||||
SET_PARAMETER Pll_Clkout1_Divide 3
|
||||
SET_PARAMETER Pll_Clkout1_Duty_Cycle 0.500
|
||||
SET_PARAMETER Pll_Clkout1_Phase 0.000
|
||||
SET_PARAMETER Pll_Clkout2_Divide 1
|
||||
SET_PARAMETER Pll_Clkout2_Duty_Cycle 0.500
|
||||
SET_PARAMETER Pll_Clkout2_Phase 0.000
|
||||
SET_PARAMETER Pll_Clkout3_Divide 1
|
||||
SET_PARAMETER Pll_Clkout3_Duty_Cycle 0.500
|
||||
SET_PARAMETER Pll_Clkout3_Phase 0.000
|
||||
SET_PARAMETER Pll_Clkout4_Divide 1
|
||||
SET_PARAMETER Pll_Clkout4_Duty_Cycle 0.500
|
||||
SET_PARAMETER Pll_Clkout4_Phase 0.000
|
||||
SET_PARAMETER Pll_Clkout5_Divide 1
|
||||
SET_PARAMETER Pll_Clkout5_Duty_Cycle 0.500
|
||||
SET_PARAMETER Pll_Clkout5_Phase 0.000
|
||||
SET_PARAMETER dcm_pll_cascade NONE
|
||||
SET_PARAMETER clock_mgr_type AUTO
|
||||
SET_PARAMETER primtype_sel PLL_BASE
|
||||
SET_PARAMETER primitive MMCM
|
||||
SET_PARAMETER SS_Mode CENTER_HIGH
|
||||
SET_PARAMETER SS_Mod_Freq 250
|
||||
SET_SIM_PARAMETER c_clkout2_used 1
|
||||
SET_SIM_PARAMETER c_clkout3_used 0
|
||||
SET_SIM_PARAMETER c_clkout4_used 0
|
||||
SET_SIM_PARAMETER c_clkout5_used 0
|
||||
SET_SIM_PARAMETER c_clkout6_used 0
|
||||
SET_SIM_PARAMETER c_clkout7_used 0
|
||||
SET_SIM_PARAMETER c_use_clkout1_bar 0
|
||||
SET_SIM_PARAMETER c_use_clkout2_bar 0
|
||||
SET_SIM_PARAMETER c_use_clkout3_bar 0
|
||||
SET_SIM_PARAMETER c_use_clkout4_bar 0
|
||||
SET_SIM_PARAMETER c_component_name hdmi_clk
|
||||
SET_SIM_PARAMETER c_platform lin64
|
||||
SET_SIM_PARAMETER c_use_freq_synth 1
|
||||
SET_SIM_PARAMETER c_use_phase_alignment 0
|
||||
SET_SIM_PARAMETER c_prim_in_jitter 0.010
|
||||
SET_SIM_PARAMETER c_secondary_in_jitter 0.010
|
||||
SET_SIM_PARAMETER c_jitter_sel No_Jitter
|
||||
SET_SIM_PARAMETER c_use_min_power 0
|
||||
SET_SIM_PARAMETER c_use_min_o_jitter 0
|
||||
SET_SIM_PARAMETER c_use_max_i_jitter 0
|
||||
SET_SIM_PARAMETER c_use_dyn_phase_shift 0
|
||||
SET_SIM_PARAMETER c_use_inclk_switchover 0
|
||||
SET_SIM_PARAMETER c_use_dyn_reconfig 0
|
||||
SET_SIM_PARAMETER c_use_spread_spectrum 0
|
||||
SET_SIM_PARAMETER c_use_spread_spectrum_1 0
|
||||
SET_SIM_PARAMETER c_primtype_sel PLL_BASE
|
||||
SET_SIM_PARAMETER c_use_clk_valid 0
|
||||
SET_SIM_PARAMETER c_prim_in_freq 50
|
||||
SET_SIM_PARAMETER c_in_freq_units Units_MHz
|
||||
SET_SIM_PARAMETER c_secondary_in_freq 100.000
|
||||
SET_SIM_PARAMETER c_feedback_source FDBK_AUTO
|
||||
SET_SIM_PARAMETER c_prim_source Single_ended_clock_capable_pin
|
||||
SET_SIM_PARAMETER c_secondary_source Single_ended_clock_capable_pin
|
||||
SET_SIM_PARAMETER c_clkfb_in_signaling SINGLE
|
||||
SET_SIM_PARAMETER c_use_reset 0
|
||||
SET_SIM_PARAMETER c_use_locked 0
|
||||
SET_SIM_PARAMETER c_use_inclk_stopped 0
|
||||
SET_SIM_PARAMETER c_use_clkfb_stopped 0
|
||||
SET_SIM_PARAMETER c_use_power_down 0
|
||||
SET_SIM_PARAMETER c_use_status 0
|
||||
SET_SIM_PARAMETER c_use_freeze 0
|
||||
SET_SIM_PARAMETER c_num_out_clks 2
|
||||
SET_SIM_PARAMETER c_clkout1_drives BUFG
|
||||
SET_SIM_PARAMETER c_clkout2_drives BUFG
|
||||
SET_SIM_PARAMETER c_clkout3_drives BUFG
|
||||
SET_SIM_PARAMETER c_clkout4_drives BUFG
|
||||
SET_SIM_PARAMETER c_clkout5_drives BUFG
|
||||
SET_SIM_PARAMETER c_clkout6_drives BUFG
|
||||
SET_SIM_PARAMETER c_clkout7_drives BUFG
|
||||
SET_SIM_PARAMETER c_inclk_sum_row0 "Input Clock Freq (MHz) Input Jitter (UI)"
|
||||
SET_SIM_PARAMETER c_inclk_sum_row1 __primary______________50____________0.010
|
||||
SET_SIM_PARAMETER c_inclk_sum_row2 no_secondary_input_clock
|
||||
SET_SIM_PARAMETER c_outclk_sum_row0a "Output Output Phase Duty Pk-to-Pk Phase"
|
||||
SET_SIM_PARAMETER c_outclk_sum_row0b "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
|
||||
SET_SIM_PARAMETER c_outclk_sum_row1 CLK_OUT1____75.000______0.000______50.0______248.869____240.171
|
||||
SET_SIM_PARAMETER c_outclk_sum_row2 CLK_OUT2___150.000______0.000______50.0______216.897____240.171
|
||||
SET_SIM_PARAMETER c_outclk_sum_row3 no_CLK_OUT3_output
|
||||
SET_SIM_PARAMETER c_outclk_sum_row4 no_CLK_OUT4_output
|
||||
SET_SIM_PARAMETER c_outclk_sum_row5 no_CLK_OUT5_output
|
||||
SET_SIM_PARAMETER c_outclk_sum_row6 no_CLK_OUT6_output
|
||||
SET_SIM_PARAMETER c_outclk_sum_row7 no_CLK_OUT7_output
|
||||
SET_SIM_PARAMETER c_clkout1_requested_out_freq 75
|
||||
SET_SIM_PARAMETER c_clkout2_requested_out_freq 150
|
||||
SET_SIM_PARAMETER c_clkout3_requested_out_freq 100.000
|
||||
SET_SIM_PARAMETER c_clkout4_requested_out_freq 100.000
|
||||
SET_SIM_PARAMETER c_clkout5_requested_out_freq 100.000
|
||||
SET_SIM_PARAMETER c_clkout6_requested_out_freq 100.000
|
||||
SET_SIM_PARAMETER c_clkout7_requested_out_freq 100.000
|
||||
SET_SIM_PARAMETER c_clkout1_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout2_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout3_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout4_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout5_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout6_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout7_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout1_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout2_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout3_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout4_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout5_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout6_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout7_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout1_out_freq 75.000
|
||||
SET_SIM_PARAMETER c_clkout2_out_freq 150.000
|
||||
SET_SIM_PARAMETER c_clkout3_out_freq N/A
|
||||
SET_SIM_PARAMETER c_clkout4_out_freq N/A
|
||||
SET_SIM_PARAMETER c_clkout5_out_freq N/A
|
||||
SET_SIM_PARAMETER c_clkout6_out_freq N/A
|
||||
SET_SIM_PARAMETER c_clkout7_out_freq N/A
|
||||
SET_SIM_PARAMETER c_clkout1_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout2_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout3_phase N/A
|
||||
SET_SIM_PARAMETER c_clkout4_phase N/A
|
||||
SET_SIM_PARAMETER c_clkout5_phase N/A
|
||||
SET_SIM_PARAMETER c_clkout6_phase N/A
|
||||
SET_SIM_PARAMETER c_clkout7_phase N/A
|
||||
SET_SIM_PARAMETER c_clkout1_duty_cycle 50.0
|
||||
SET_SIM_PARAMETER c_clkout2_duty_cycle 50.0
|
||||
SET_SIM_PARAMETER c_clkout3_duty_cycle N/A
|
||||
SET_SIM_PARAMETER c_clkout4_duty_cycle N/A
|
||||
SET_SIM_PARAMETER c_clkout5_duty_cycle N/A
|
||||
SET_SIM_PARAMETER c_clkout6_duty_cycle N/A
|
||||
SET_SIM_PARAMETER c_clkout7_duty_cycle N/A
|
||||
SET_SIM_PARAMETER c_mmcm_notes None
|
||||
SET_SIM_PARAMETER c_mmcm_bandwidth OPTIMIZED
|
||||
SET_SIM_PARAMETER c_mmcm_clkfbout_mult_f 4.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkin1_period 10.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkin2_period 10.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout4_cascade FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clock_hold FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_compensation ZHOLD
|
||||
SET_SIM_PARAMETER c_mmcm_divclk_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_ref_jitter1 0.010
|
||||
SET_SIM_PARAMETER c_mmcm_ref_jitter2 0.010
|
||||
SET_SIM_PARAMETER c_mmcm_startup_wait FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout0_divide_f 4.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout1_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_clkout2_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_clkout3_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_clkout4_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_clkout5_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_clkout6_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_clkout0_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkout1_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkout2_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkout3_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkout4_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkout5_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkout6_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkfbout_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout0_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout1_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout2_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout3_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout4_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout5_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout6_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkfbout_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout0_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout1_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout2_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout3_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout4_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout5_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout6_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_pll_notes None
|
||||
SET_SIM_PARAMETER c_pll_bandwidth OPTIMIZED
|
||||
SET_SIM_PARAMETER c_pll_clk_feedback CLKFBOUT
|
||||
SET_SIM_PARAMETER c_pll_clkfbout_mult 9
|
||||
SET_SIM_PARAMETER c_pll_clkin_period 20.000
|
||||
SET_SIM_PARAMETER c_pll_compensation INTERNAL
|
||||
SET_SIM_PARAMETER c_pll_divclk_divide 1
|
||||
SET_SIM_PARAMETER c_pll_ref_jitter 0.010
|
||||
SET_SIM_PARAMETER c_pll_clkout0_divide 6
|
||||
SET_SIM_PARAMETER c_pll_clkout1_divide 3
|
||||
SET_SIM_PARAMETER c_pll_clkout2_divide 1
|
||||
SET_SIM_PARAMETER c_pll_clkout3_divide 1
|
||||
SET_SIM_PARAMETER c_pll_clkout4_divide 1
|
||||
SET_SIM_PARAMETER c_pll_clkout5_divide 1
|
||||
SET_SIM_PARAMETER c_pll_clkout0_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_pll_clkout1_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_pll_clkout2_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_pll_clkout3_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_pll_clkout4_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_pll_clkout5_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_pll_clkfbout_phase 0.000
|
||||
SET_SIM_PARAMETER c_pll_clkout0_phase 0.000
|
||||
SET_SIM_PARAMETER c_pll_clkout1_phase 0.000
|
||||
SET_SIM_PARAMETER c_pll_clkout2_phase 0.000
|
||||
SET_SIM_PARAMETER c_pll_clkout3_phase 0.000
|
||||
SET_SIM_PARAMETER c_pll_clkout4_phase 0.000
|
||||
SET_SIM_PARAMETER c_pll_clkout5_phase 0.000
|
||||
SET_SIM_PARAMETER c_dcm_notes None
|
||||
SET_SIM_PARAMETER c_dcm_clkdv_divide 2.000
|
||||
SET_SIM_PARAMETER c_dcm_clkfx_divide 2
|
||||
SET_SIM_PARAMETER c_dcm_clkfx_multiply 3
|
||||
SET_SIM_PARAMETER c_dcm_clkin_divide_by_2 FALSE
|
||||
SET_SIM_PARAMETER c_dcm_clkin_period 20.0
|
||||
SET_SIM_PARAMETER c_dcm_clkout_phase_shift NONE
|
||||
SET_SIM_PARAMETER c_dcm_clk_feedback NONE
|
||||
SET_SIM_PARAMETER c_dcm_clk_feedback_port NONE
|
||||
SET_SIM_PARAMETER c_dcm_deskew_adjust SYSTEM_SYNCHRONOUS
|
||||
SET_SIM_PARAMETER c_dcm_phase_shift 0
|
||||
SET_SIM_PARAMETER c_dcm_startup_wait FALSE
|
||||
SET_SIM_PARAMETER c_dcm_clk_out1_port CLKFX
|
||||
SET_SIM_PARAMETER c_dcm_clk_out2_port CLK0
|
||||
SET_SIM_PARAMETER c_dcm_clk_out3_port NONE
|
||||
SET_SIM_PARAMETER c_dcm_clk_out4_port NONE
|
||||
SET_SIM_PARAMETER c_dcm_clk_out5_port NONE
|
||||
SET_SIM_PARAMETER c_dcm_clk_out6_port NONE
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_notes None
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clkfxdv_divide 2
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clkfx_divide 1
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clkfx_multiply 4
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_dfs_bandwidth OPTIMIZED
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_prog_md_bandwidth OPTIMIZED
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clkin_period 20.0
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clkfx_md_max 0.000
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_spread_spectrum NONE
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_startup_wait FALSE
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clk_out1_port CLKFX
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clk_out2_port CLKFX
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clk_out3_port NONE
|
||||
SET_SIM_PARAMETER c_clock_mgr_type AUTO
|
||||
SET_SIM_PARAMETER c_override_mmcm 0
|
||||
SET_SIM_PARAMETER c_override_pll 0
|
||||
SET_SIM_PARAMETER c_override_dcm 0
|
||||
SET_SIM_PARAMETER c_override_dcm_clkgen 0
|
||||
SET_SIM_PARAMETER c_dcm_pll_cascade NONE
|
||||
SET_SIM_PARAMETER c_primary_port CLK_IN1
|
||||
SET_SIM_PARAMETER c_secondary_port CLK_IN2
|
||||
SET_SIM_PARAMETER c_clk_out1_port CLK_OUT1
|
||||
SET_SIM_PARAMETER c_clk_out2_port CLK_OUT2
|
||||
SET_SIM_PARAMETER c_clk_out3_port CLK_OUT3
|
||||
SET_SIM_PARAMETER c_clk_out4_port CLK_OUT4
|
||||
SET_SIM_PARAMETER c_clk_out5_port CLK_OUT5
|
||||
SET_SIM_PARAMETER c_clk_out6_port CLK_OUT6
|
||||
SET_SIM_PARAMETER c_clk_out7_port CLK_OUT7
|
||||
SET_SIM_PARAMETER c_reset_port RESET
|
||||
SET_SIM_PARAMETER c_locked_port LOCKED
|
||||
SET_SIM_PARAMETER c_clkfb_in_port CLKFB_IN
|
||||
SET_SIM_PARAMETER c_clkfb_in_p_port CLKFB_IN_P
|
||||
SET_SIM_PARAMETER c_clkfb_in_n_port CLKFB_IN_N
|
||||
SET_SIM_PARAMETER c_clkfb_out_port CLKFB_OUT
|
||||
SET_SIM_PARAMETER c_clkfb_out_p_port CLKFB_OUT_P
|
||||
SET_SIM_PARAMETER c_clkfb_out_n_port CLKFB_OUT_N
|
||||
SET_SIM_PARAMETER c_power_down_port POWER_DOWN
|
||||
SET_SIM_PARAMETER c_daddr_port DADDR
|
||||
SET_SIM_PARAMETER c_dclk_port DCLK
|
||||
SET_SIM_PARAMETER c_drdy_port DRDY
|
||||
SET_SIM_PARAMETER c_dwe_port DWE
|
||||
SET_SIM_PARAMETER c_din_port DIN
|
||||
SET_SIM_PARAMETER c_dout_port DOUT
|
||||
SET_SIM_PARAMETER c_den_port DEN
|
||||
SET_SIM_PARAMETER c_psclk_port PSCLK
|
||||
SET_SIM_PARAMETER c_psen_port PSEN
|
||||
SET_SIM_PARAMETER c_psincdec_port PSINCDEC
|
||||
SET_SIM_PARAMETER c_psdone_port PSDONE
|
||||
SET_SIM_PARAMETER c_clk_valid_port CLK_VALID
|
||||
SET_SIM_PARAMETER c_status_port STATUS
|
||||
SET_SIM_PARAMETER c_clk_in_sel_port CLK_IN_SEL
|
||||
SET_SIM_PARAMETER c_input_clk_stopped_port INPUT_CLK_STOPPED
|
||||
SET_SIM_PARAMETER c_clkfb_stopped_port CLKFB_STOPPED
|
||||
SET_SIM_PARAMETER c_clkin1_jitter_ps 200.0
|
||||
SET_SIM_PARAMETER c_clkin2_jitter_ps 100.0
|
||||
SET_SIM_PARAMETER c_primitive MMCM
|
||||
SET_SIM_PARAMETER c_ss_mode CENTER_HIGH
|
||||
SET_SIM_PARAMETER c_ss_mod_period 4000
|
||||
SET_CORE_NAME Clocking Wizard
|
||||
SET_CORE_VERSION 3.6
|
||||
SET_CORE_VLNV xilinx.com:ip:clk_wiz:3.6
|
||||
SET_CORE_CLASS com.xilinx.ip.clk_wiz_v3_6.clk_wiz_v3_6
|
||||
SET_CORE_PATH /opt/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6
|
||||
SET_CORE_GUIPATH /opt/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6/gui/clk_wiz_v3_6.tcl
|
||||
SET_CORE_DATASHEET /opt/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6/doc/pg065_clk_wiz.pdf
|
||||
ADD_CORE_DOCUMENT </opt/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6/doc/pg065_clk_wiz.pdf><pg065_clk_wiz.pdf>
|
||||
ADD_CORE_DOCUMENT </opt/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6/doc/clk_wiz_v3_6_readme.txt><clk_wiz_v3_6_readme.txt>
|
||||
ADD_CORE_DOCUMENT </opt/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6/doc/clk_wiz_v3_6_vinfo.html><clk_wiz_v3_6_vinfo.html>
|
505
ipcore_dir/tmp/customization_gui.0.194277189762.out
Normal file
505
ipcore_dir/tmp/customization_gui.0.194277189762.out
Normal file
|
@ -0,0 +1,505 @@
|
|||
SET_FLAG DEBUG FALSE
|
||||
SET_FLAG MODE BATCH
|
||||
SET_FLAG STANDALONE_MODE FALSE
|
||||
SET_PREFERENCE devicefamily spartan6
|
||||
SET_PREFERENCE device xc6slx9
|
||||
SET_PREFERENCE speedgrade -2
|
||||
SET_PREFERENCE package tqg144
|
||||
SET_PREFERENCE verilogsim true
|
||||
SET_PREFERENCE vhdlsim false
|
||||
SET_PREFERENCE simulationfiles Behavioral
|
||||
SET_PREFERENCE busformat BusFormatAngleBracketNotRipped
|
||||
SET_PREFERENCE outputdirectory /home/tim/Projects/fpga/micro_test/ipcore_dir/
|
||||
SET_PREFERENCE workingdirectory /home/tim/Projects/fpga/micro_test/ipcore_dir/tmp/
|
||||
SET_PREFERENCE subworkingdirectory /home/tim/Projects/fpga/micro_test/ipcore_dir/tmp/_cg/
|
||||
SET_PREFERENCE transientdirectory /home/tim/Projects/fpga/micro_test/ipcore_dir/tmp/_cg/_dbg/
|
||||
SET_PREFERENCE designentry Verilog
|
||||
SET_PREFERENCE flowvendor Other
|
||||
SET_PREFERENCE addpads false
|
||||
SET_PREFERENCE projectname coregen
|
||||
SET_PREFERENCE formalverification false
|
||||
SET_PREFERENCE asysymbol false
|
||||
SET_PREFERENCE implementationfiletype Ngc
|
||||
SET_PREFERENCE foundationsym false
|
||||
SET_PREFERENCE createndf false
|
||||
SET_PREFERENCE removerpms false
|
||||
SET_PARAMETER Component_Name hdmi_clk
|
||||
SET_PARAMETER Use_Freq_Synth true
|
||||
SET_PARAMETER Use_Phase_Alignment false
|
||||
SET_PARAMETER Use_Min_Power false
|
||||
SET_PARAMETER Use_Dyn_Phase_Shift false
|
||||
SET_PARAMETER Use_Dyn_Reconfig false
|
||||
SET_PARAMETER Jitter_Sel No_Jitter
|
||||
SET_PARAMETER Use_Spread_Spectrum false
|
||||
SET_PARAMETER Use_Spread_Spectrum_1 false
|
||||
SET_PARAMETER Prim_In_Freq 50
|
||||
SET_PARAMETER In_Freq_Units Units_MHz
|
||||
SET_PARAMETER In_Jitter_Units Units_UI
|
||||
SET_PARAMETER Relative_Inclk REL_PRIMARY
|
||||
SET_PARAMETER Secondary_In_Freq 100.000
|
||||
SET_PARAMETER Jitter_Options UI
|
||||
SET_PARAMETER Clkin1_UI_Jitter 0.010
|
||||
SET_PARAMETER Clkin2_UI_Jitter 0.010
|
||||
SET_PARAMETER Prim_In_Jitter 0.010
|
||||
SET_PARAMETER Secondary_In_Jitter 0.010
|
||||
SET_PARAMETER Clkin1_Jitter_Ps 200.0
|
||||
SET_PARAMETER Clkin2_Jitter_Ps 100.0
|
||||
SET_PARAMETER Clkout2_Used true
|
||||
SET_PARAMETER Clkout3_Used false
|
||||
SET_PARAMETER Clkout4_Used false
|
||||
SET_PARAMETER Clkout5_Used false
|
||||
SET_PARAMETER Clkout6_Used false
|
||||
SET_PARAMETER Clkout7_Used false
|
||||
SET_PARAMETER Num_Out_Clks 2
|
||||
SET_PARAMETER Clk_Out1_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER Clk_Out2_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER Clk_Out3_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER Clk_Out4_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER Clk_Out5_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER Clk_Out6_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER Clk_Out7_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER primary_port CLK_IN1
|
||||
SET_PARAMETER CLK_OUT1_port CLK_OUT1
|
||||
SET_PARAMETER CLK_OUT2_port CLK_OUT2
|
||||
SET_PARAMETER CLK_OUT3_port CLK_OUT3
|
||||
SET_PARAMETER CLK_OUT4_port CLK_OUT4
|
||||
SET_PARAMETER CLK_OUT5_port CLK_OUT5
|
||||
SET_PARAMETER CLK_OUT6_port CLK_OUT6
|
||||
SET_PARAMETER CLK_OUT7_port CLK_OUT7
|
||||
SET_PARAMETER DADDR_port DADDR
|
||||
SET_PARAMETER DCLK_port DCLK
|
||||
SET_PARAMETER DRDY_port DRDY
|
||||
SET_PARAMETER DWE_port DWE
|
||||
SET_PARAMETER DIN_port DIN
|
||||
SET_PARAMETER DOUT_port DOUT
|
||||
SET_PARAMETER DEN_port DEN
|
||||
SET_PARAMETER PSCLK_port PSCLK
|
||||
SET_PARAMETER PSEN_port PSEN
|
||||
SET_PARAMETER PSINCDEC_port PSINCDEC
|
||||
SET_PARAMETER PSDONE_port PSDONE
|
||||
SET_PARAMETER Clkout1_Requested_Out_Freq 75
|
||||
SET_PARAMETER Clkout1_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout1_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Clkout2_Requested_Out_Freq 175
|
||||
SET_PARAMETER Clkout2_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout2_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Clkout3_Requested_Out_Freq 100.000
|
||||
SET_PARAMETER Clkout3_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout3_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Clkout4_Requested_Out_Freq 100.000
|
||||
SET_PARAMETER Clkout4_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout4_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Clkout5_Requested_Out_Freq 100.000
|
||||
SET_PARAMETER Clkout5_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout5_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Clkout6_Requested_Out_Freq 100.000
|
||||
SET_PARAMETER Clkout6_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout6_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Clkout7_Requested_Out_Freq 100.000
|
||||
SET_PARAMETER Clkout7_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout7_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Use_Max_I_Jitter false
|
||||
SET_PARAMETER Use_Min_O_Jitter false
|
||||
SET_PARAMETER Prim_Source Single_ended_clock_capable_pin
|
||||
SET_PARAMETER Use_Inclk_Switchover false
|
||||
SET_PARAMETER secondary_port CLK_IN2
|
||||
SET_PARAMETER Secondary_Source Single_ended_clock_capable_pin
|
||||
SET_PARAMETER Clkout1_Drives BUFG
|
||||
SET_PARAMETER Clkout2_Drives BUFG
|
||||
SET_PARAMETER Clkout3_Drives BUFG
|
||||
SET_PARAMETER Clkout4_Drives BUFG
|
||||
SET_PARAMETER Clkout5_Drives BUFG
|
||||
SET_PARAMETER Clkout6_Drives BUFG
|
||||
SET_PARAMETER Clkout7_Drives BUFG
|
||||
SET_PARAMETER Feedback_Source FDBK_AUTO
|
||||
SET_PARAMETER Clkfb_In_Signaling SINGLE
|
||||
SET_PARAMETER CLKFB_IN_port CLKFB_IN
|
||||
SET_PARAMETER CLKFB_IN_P_port CLKFB_IN_P
|
||||
SET_PARAMETER CLKFB_IN_N_port CLKFB_IN_N
|
||||
SET_PARAMETER CLKFB_OUT_port CLKFB_OUT
|
||||
SET_PARAMETER CLKFB_OUT_P_port CLKFB_OUT_P
|
||||
SET_PARAMETER CLKFB_OUT_N_port CLKFB_OUT_N
|
||||
SET_PARAMETER Platform lin64
|
||||
SET_PARAMETER Summary_Strings empty
|
||||
SET_PARAMETER Use_Locked false
|
||||
SET_PARAMETER calc_done DONE
|
||||
SET_PARAMETER Use_Reset false
|
||||
SET_PARAMETER Use_Power_Down false
|
||||
SET_PARAMETER Use_Status false
|
||||
SET_PARAMETER Use_Freeze false
|
||||
SET_PARAMETER Use_Clk_Valid false
|
||||
SET_PARAMETER Use_Inclk_Stopped false
|
||||
SET_PARAMETER Use_Clkfb_Stopped false
|
||||
SET_PARAMETER RESET_port RESET
|
||||
SET_PARAMETER LOCKED_port LOCKED
|
||||
SET_PARAMETER Power_Down_port POWER_DOWN
|
||||
SET_PARAMETER CLK_VALID_port CLK_VALID
|
||||
SET_PARAMETER STATUS_port STATUS
|
||||
SET_PARAMETER CLK_IN_SEL_port CLK_IN_SEL
|
||||
SET_PARAMETER INPUT_CLK_STOPPED_port INPUT_CLK_STOPPED
|
||||
SET_PARAMETER CLKFB_STOPPED_port CLKFB_STOPPED
|
||||
SET_PARAMETER Override_Mmcm false
|
||||
SET_PARAMETER Mmcm_Notes None
|
||||
SET_PARAMETER Mmcm_Divclk_Divide 1
|
||||
SET_PARAMETER Mmcm_Bandwidth OPTIMIZED
|
||||
SET_PARAMETER Mmcm_Clkfbout_Mult_F 4.000
|
||||
SET_PARAMETER Mmcm_Clkfbout_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkfbout_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkin1_Period 10.000
|
||||
SET_PARAMETER Mmcm_Clkin2_Period 10.000
|
||||
SET_PARAMETER Mmcm_Clkout4_Cascade false
|
||||
SET_PARAMETER Mmcm_Clock_Hold false
|
||||
SET_PARAMETER Mmcm_Compensation ZHOLD
|
||||
SET_PARAMETER Mmcm_Ref_Jitter1 0.010
|
||||
SET_PARAMETER Mmcm_Ref_Jitter2 0.010
|
||||
SET_PARAMETER Mmcm_Startup_Wait false
|
||||
SET_PARAMETER Mmcm_Clkout0_Divide_F 4.000
|
||||
SET_PARAMETER Mmcm_Clkout0_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout0_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout0_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkout1_Divide 1
|
||||
SET_PARAMETER Mmcm_Clkout1_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout1_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout1_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkout2_Divide 1
|
||||
SET_PARAMETER Mmcm_Clkout2_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout2_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout2_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkout3_Divide 1
|
||||
SET_PARAMETER Mmcm_Clkout3_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout3_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout3_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkout4_Divide 1
|
||||
SET_PARAMETER Mmcm_Clkout4_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout4_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout4_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkout5_Divide 1
|
||||
SET_PARAMETER Mmcm_Clkout5_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout5_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout5_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkout6_Divide 1
|
||||
SET_PARAMETER Mmcm_Clkout6_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout6_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout6_Use_Fine_Ps false
|
||||
SET_PARAMETER Override_Dcm false
|
||||
SET_PARAMETER Dcm_Notes None
|
||||
SET_PARAMETER Dcm_Clkdv_Divide 2.0
|
||||
SET_PARAMETER Dcm_Clkfx_Divide 2
|
||||
SET_PARAMETER Dcm_Clkfx_Multiply 3
|
||||
SET_PARAMETER Dcm_Clkin_Divide_By_2 false
|
||||
SET_PARAMETER Dcm_Clkin_Period 20.000
|
||||
SET_PARAMETER Dcm_Clkout_Phase_Shift NONE
|
||||
SET_PARAMETER Dcm_Deskew_Adjust SYSTEM_SYNCHRONOUS
|
||||
SET_PARAMETER Dcm_Phase_Shift 0
|
||||
SET_PARAMETER Dcm_Clk_Feedback NONE
|
||||
SET_PARAMETER Dcm_Startup_Wait false
|
||||
SET_PARAMETER Dcm_Clk_Out1_Port CLKFX
|
||||
SET_PARAMETER Dcm_Clk_Out2_Port CLK0
|
||||
SET_PARAMETER Dcm_Clk_Out3_Port CLK0
|
||||
SET_PARAMETER Dcm_Clk_Out4_Port CLK0
|
||||
SET_PARAMETER Dcm_Clk_Out5_Port CLK0
|
||||
SET_PARAMETER Dcm_Clk_Out6_Port CLK0
|
||||
SET_PARAMETER Override_Dcm_Clkgen false
|
||||
SET_PARAMETER Dcm_Clkgen_Notes None
|
||||
SET_PARAMETER Dcm_Clkgen_Clkfx_Divide 1
|
||||
SET_PARAMETER Dcm_Clkgen_Clkfx_Multiply 4
|
||||
SET_PARAMETER Dcm_Clkgen_Clkfxdv_Divide 2
|
||||
SET_PARAMETER Dcm_Clkgen_Clkfx_Md_Max 0.000
|
||||
SET_PARAMETER Dcm_Clkgen_Startup_Wait false
|
||||
SET_PARAMETER Dcm_Clkgen_Clkin_Period 10.000
|
||||
SET_PARAMETER Dcm_Clkgen_Spread_Spectrum NONE
|
||||
SET_PARAMETER Dcm_Clkgen_Clk_Out1_Port CLKFX
|
||||
SET_PARAMETER Dcm_Clkgen_Clk_Out2_Port CLKFX
|
||||
SET_PARAMETER Dcm_Clkgen_Clk_Out3_Port CLKFX
|
||||
SET_PARAMETER Override_Pll false
|
||||
SET_PARAMETER Pll_Notes None
|
||||
SET_PARAMETER Pll_Bandwidth OPTIMIZED
|
||||
SET_PARAMETER Pll_Clkfbout_Mult 21
|
||||
SET_PARAMETER Pll_Clkfbout_Phase 0.000
|
||||
SET_PARAMETER Pll_Clk_Feedback CLKFBOUT
|
||||
SET_PARAMETER Pll_Divclk_Divide 2
|
||||
SET_PARAMETER Pll_Clkin_Period 20.000
|
||||
SET_PARAMETER Pll_Compensation INTERNAL
|
||||
SET_PARAMETER Pll_Ref_Jitter 0.010
|
||||
SET_PARAMETER Pll_Clkout0_Divide 7
|
||||
SET_PARAMETER Pll_Clkout0_Duty_Cycle 0.500
|
||||
SET_PARAMETER Pll_Clkout0_Phase 0.000
|
||||
SET_PARAMETER Pll_Clkout1_Divide 3
|
||||
SET_PARAMETER Pll_Clkout1_Duty_Cycle 0.500
|
||||
SET_PARAMETER Pll_Clkout1_Phase 0.000
|
||||
SET_PARAMETER Pll_Clkout2_Divide 1
|
||||
SET_PARAMETER Pll_Clkout2_Duty_Cycle 0.500
|
||||
SET_PARAMETER Pll_Clkout2_Phase 0.000
|
||||
SET_PARAMETER Pll_Clkout3_Divide 1
|
||||
SET_PARAMETER Pll_Clkout3_Duty_Cycle 0.500
|
||||
SET_PARAMETER Pll_Clkout3_Phase 0.000
|
||||
SET_PARAMETER Pll_Clkout4_Divide 1
|
||||
SET_PARAMETER Pll_Clkout4_Duty_Cycle 0.500
|
||||
SET_PARAMETER Pll_Clkout4_Phase 0.000
|
||||
SET_PARAMETER Pll_Clkout5_Divide 1
|
||||
SET_PARAMETER Pll_Clkout5_Duty_Cycle 0.500
|
||||
SET_PARAMETER Pll_Clkout5_Phase 0.000
|
||||
SET_PARAMETER dcm_pll_cascade NONE
|
||||
SET_PARAMETER clock_mgr_type AUTO
|
||||
SET_PARAMETER primtype_sel PLL_BASE
|
||||
SET_PARAMETER primitive MMCM
|
||||
SET_PARAMETER SS_Mode CENTER_HIGH
|
||||
SET_PARAMETER SS_Mod_Freq 250
|
||||
SET_SIM_PARAMETER c_clkout2_used 1
|
||||
SET_SIM_PARAMETER c_clkout3_used 0
|
||||
SET_SIM_PARAMETER c_clkout4_used 0
|
||||
SET_SIM_PARAMETER c_clkout5_used 0
|
||||
SET_SIM_PARAMETER c_clkout6_used 0
|
||||
SET_SIM_PARAMETER c_clkout7_used 0
|
||||
SET_SIM_PARAMETER c_use_clkout1_bar 0
|
||||
SET_SIM_PARAMETER c_use_clkout2_bar 0
|
||||
SET_SIM_PARAMETER c_use_clkout3_bar 0
|
||||
SET_SIM_PARAMETER c_use_clkout4_bar 0
|
||||
SET_SIM_PARAMETER c_component_name hdmi_clk
|
||||
SET_SIM_PARAMETER c_platform lin64
|
||||
SET_SIM_PARAMETER c_use_freq_synth 1
|
||||
SET_SIM_PARAMETER c_use_phase_alignment 0
|
||||
SET_SIM_PARAMETER c_prim_in_jitter 0.010
|
||||
SET_SIM_PARAMETER c_secondary_in_jitter 0.010
|
||||
SET_SIM_PARAMETER c_jitter_sel No_Jitter
|
||||
SET_SIM_PARAMETER c_use_min_power 0
|
||||
SET_SIM_PARAMETER c_use_min_o_jitter 0
|
||||
SET_SIM_PARAMETER c_use_max_i_jitter 0
|
||||
SET_SIM_PARAMETER c_use_dyn_phase_shift 0
|
||||
SET_SIM_PARAMETER c_use_inclk_switchover 0
|
||||
SET_SIM_PARAMETER c_use_dyn_reconfig 0
|
||||
SET_SIM_PARAMETER c_use_spread_spectrum 0
|
||||
SET_SIM_PARAMETER c_use_spread_spectrum_1 0
|
||||
SET_SIM_PARAMETER c_primtype_sel PLL_BASE
|
||||
SET_SIM_PARAMETER c_use_clk_valid 0
|
||||
SET_SIM_PARAMETER c_prim_in_freq 50
|
||||
SET_SIM_PARAMETER c_in_freq_units Units_MHz
|
||||
SET_SIM_PARAMETER c_secondary_in_freq 100.000
|
||||
SET_SIM_PARAMETER c_feedback_source FDBK_AUTO
|
||||
SET_SIM_PARAMETER c_prim_source Single_ended_clock_capable_pin
|
||||
SET_SIM_PARAMETER c_secondary_source Single_ended_clock_capable_pin
|
||||
SET_SIM_PARAMETER c_clkfb_in_signaling SINGLE
|
||||
SET_SIM_PARAMETER c_use_reset 0
|
||||
SET_SIM_PARAMETER c_use_locked 0
|
||||
SET_SIM_PARAMETER c_use_inclk_stopped 0
|
||||
SET_SIM_PARAMETER c_use_clkfb_stopped 0
|
||||
SET_SIM_PARAMETER c_use_power_down 0
|
||||
SET_SIM_PARAMETER c_use_status 0
|
||||
SET_SIM_PARAMETER c_use_freeze 0
|
||||
SET_SIM_PARAMETER c_num_out_clks 2
|
||||
SET_SIM_PARAMETER c_clkout1_drives BUFG
|
||||
SET_SIM_PARAMETER c_clkout2_drives BUFG
|
||||
SET_SIM_PARAMETER c_clkout3_drives BUFG
|
||||
SET_SIM_PARAMETER c_clkout4_drives BUFG
|
||||
SET_SIM_PARAMETER c_clkout5_drives BUFG
|
||||
SET_SIM_PARAMETER c_clkout6_drives BUFG
|
||||
SET_SIM_PARAMETER c_clkout7_drives BUFG
|
||||
SET_SIM_PARAMETER c_inclk_sum_row0 "Input Clock Freq (MHz) Input Jitter (UI)"
|
||||
SET_SIM_PARAMETER c_inclk_sum_row1 __primary______________50____________0.010
|
||||
SET_SIM_PARAMETER c_inclk_sum_row2 no_secondary_input_clock
|
||||
SET_SIM_PARAMETER c_outclk_sum_row0a "Output Output Phase Duty Pk-to-Pk Phase"
|
||||
SET_SIM_PARAMETER c_outclk_sum_row0b "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
|
||||
SET_SIM_PARAMETER c_outclk_sum_row1 CLK_OUT1____75.000______0.000______50.0______321.816____253.010
|
||||
SET_SIM_PARAMETER c_outclk_sum_row2 CLK_OUT2___175.000______0.000______50.0______265.664____253.010
|
||||
SET_SIM_PARAMETER c_outclk_sum_row3 no_CLK_OUT3_output
|
||||
SET_SIM_PARAMETER c_outclk_sum_row4 no_CLK_OUT4_output
|
||||
SET_SIM_PARAMETER c_outclk_sum_row5 no_CLK_OUT5_output
|
||||
SET_SIM_PARAMETER c_outclk_sum_row6 no_CLK_OUT6_output
|
||||
SET_SIM_PARAMETER c_outclk_sum_row7 no_CLK_OUT7_output
|
||||
SET_SIM_PARAMETER c_clkout1_requested_out_freq 75
|
||||
SET_SIM_PARAMETER c_clkout2_requested_out_freq 175
|
||||
SET_SIM_PARAMETER c_clkout3_requested_out_freq 100.000
|
||||
SET_SIM_PARAMETER c_clkout4_requested_out_freq 100.000
|
||||
SET_SIM_PARAMETER c_clkout5_requested_out_freq 100.000
|
||||
SET_SIM_PARAMETER c_clkout6_requested_out_freq 100.000
|
||||
SET_SIM_PARAMETER c_clkout7_requested_out_freq 100.000
|
||||
SET_SIM_PARAMETER c_clkout1_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout2_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout3_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout4_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout5_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout6_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout7_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout1_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout2_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout3_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout4_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout5_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout6_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout7_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout1_out_freq 75.000
|
||||
SET_SIM_PARAMETER c_clkout2_out_freq 175.000
|
||||
SET_SIM_PARAMETER c_clkout3_out_freq N/A
|
||||
SET_SIM_PARAMETER c_clkout4_out_freq N/A
|
||||
SET_SIM_PARAMETER c_clkout5_out_freq N/A
|
||||
SET_SIM_PARAMETER c_clkout6_out_freq N/A
|
||||
SET_SIM_PARAMETER c_clkout7_out_freq N/A
|
||||
SET_SIM_PARAMETER c_clkout1_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout2_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout3_phase N/A
|
||||
SET_SIM_PARAMETER c_clkout4_phase N/A
|
||||
SET_SIM_PARAMETER c_clkout5_phase N/A
|
||||
SET_SIM_PARAMETER c_clkout6_phase N/A
|
||||
SET_SIM_PARAMETER c_clkout7_phase N/A
|
||||
SET_SIM_PARAMETER c_clkout1_duty_cycle 50.0
|
||||
SET_SIM_PARAMETER c_clkout2_duty_cycle 50.0
|
||||
SET_SIM_PARAMETER c_clkout3_duty_cycle N/A
|
||||
SET_SIM_PARAMETER c_clkout4_duty_cycle N/A
|
||||
SET_SIM_PARAMETER c_clkout5_duty_cycle N/A
|
||||
SET_SIM_PARAMETER c_clkout6_duty_cycle N/A
|
||||
SET_SIM_PARAMETER c_clkout7_duty_cycle N/A
|
||||
SET_SIM_PARAMETER c_mmcm_notes None
|
||||
SET_SIM_PARAMETER c_mmcm_bandwidth OPTIMIZED
|
||||
SET_SIM_PARAMETER c_mmcm_clkfbout_mult_f 4.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkin1_period 10.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkin2_period 10.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout4_cascade FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clock_hold FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_compensation ZHOLD
|
||||
SET_SIM_PARAMETER c_mmcm_divclk_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_ref_jitter1 0.010
|
||||
SET_SIM_PARAMETER c_mmcm_ref_jitter2 0.010
|
||||
SET_SIM_PARAMETER c_mmcm_startup_wait FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout0_divide_f 4.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout1_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_clkout2_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_clkout3_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_clkout4_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_clkout5_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_clkout6_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_clkout0_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkout1_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkout2_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkout3_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkout4_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkout5_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkout6_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkfbout_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout0_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout1_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout2_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout3_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout4_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout5_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout6_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkfbout_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout0_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout1_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout2_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout3_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout4_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout5_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout6_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_pll_notes None
|
||||
SET_SIM_PARAMETER c_pll_bandwidth OPTIMIZED
|
||||
SET_SIM_PARAMETER c_pll_clk_feedback CLKFBOUT
|
||||
SET_SIM_PARAMETER c_pll_clkfbout_mult 21
|
||||
SET_SIM_PARAMETER c_pll_clkin_period 20.000
|
||||
SET_SIM_PARAMETER c_pll_compensation INTERNAL
|
||||
SET_SIM_PARAMETER c_pll_divclk_divide 2
|
||||
SET_SIM_PARAMETER c_pll_ref_jitter 0.010
|
||||
SET_SIM_PARAMETER c_pll_clkout0_divide 7
|
||||
SET_SIM_PARAMETER c_pll_clkout1_divide 3
|
||||
SET_SIM_PARAMETER c_pll_clkout2_divide 1
|
||||
SET_SIM_PARAMETER c_pll_clkout3_divide 1
|
||||
SET_SIM_PARAMETER c_pll_clkout4_divide 1
|
||||
SET_SIM_PARAMETER c_pll_clkout5_divide 1
|
||||
SET_SIM_PARAMETER c_pll_clkout0_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_pll_clkout1_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_pll_clkout2_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_pll_clkout3_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_pll_clkout4_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_pll_clkout5_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_pll_clkfbout_phase 0.000
|
||||
SET_SIM_PARAMETER c_pll_clkout0_phase 0.000
|
||||
SET_SIM_PARAMETER c_pll_clkout1_phase 0.000
|
||||
SET_SIM_PARAMETER c_pll_clkout2_phase 0.000
|
||||
SET_SIM_PARAMETER c_pll_clkout3_phase 0.000
|
||||
SET_SIM_PARAMETER c_pll_clkout4_phase 0.000
|
||||
SET_SIM_PARAMETER c_pll_clkout5_phase 0.000
|
||||
SET_SIM_PARAMETER c_dcm_notes None
|
||||
SET_SIM_PARAMETER c_dcm_clkdv_divide 2.000
|
||||
SET_SIM_PARAMETER c_dcm_clkfx_divide 2
|
||||
SET_SIM_PARAMETER c_dcm_clkfx_multiply 3
|
||||
SET_SIM_PARAMETER c_dcm_clkin_divide_by_2 FALSE
|
||||
SET_SIM_PARAMETER c_dcm_clkin_period 20.0
|
||||
SET_SIM_PARAMETER c_dcm_clkout_phase_shift NONE
|
||||
SET_SIM_PARAMETER c_dcm_clk_feedback NONE
|
||||
SET_SIM_PARAMETER c_dcm_clk_feedback_port NONE
|
||||
SET_SIM_PARAMETER c_dcm_deskew_adjust SYSTEM_SYNCHRONOUS
|
||||
SET_SIM_PARAMETER c_dcm_phase_shift 0
|
||||
SET_SIM_PARAMETER c_dcm_startup_wait FALSE
|
||||
SET_SIM_PARAMETER c_dcm_clk_out1_port CLKFX
|
||||
SET_SIM_PARAMETER c_dcm_clk_out2_port CLK0
|
||||
SET_SIM_PARAMETER c_dcm_clk_out3_port NONE
|
||||
SET_SIM_PARAMETER c_dcm_clk_out4_port NONE
|
||||
SET_SIM_PARAMETER c_dcm_clk_out5_port NONE
|
||||
SET_SIM_PARAMETER c_dcm_clk_out6_port NONE
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_notes None
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clkfxdv_divide 2
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clkfx_divide 1
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clkfx_multiply 4
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_dfs_bandwidth OPTIMIZED
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_prog_md_bandwidth OPTIMIZED
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clkin_period 20.0
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clkfx_md_max 0.000
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_spread_spectrum NONE
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_startup_wait FALSE
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clk_out1_port CLKFX
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clk_out2_port CLKFX
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clk_out3_port NONE
|
||||
SET_SIM_PARAMETER c_clock_mgr_type AUTO
|
||||
SET_SIM_PARAMETER c_override_mmcm 0
|
||||
SET_SIM_PARAMETER c_override_pll 0
|
||||
SET_SIM_PARAMETER c_override_dcm 0
|
||||
SET_SIM_PARAMETER c_override_dcm_clkgen 0
|
||||
SET_SIM_PARAMETER c_dcm_pll_cascade NONE
|
||||
SET_SIM_PARAMETER c_primary_port CLK_IN1
|
||||
SET_SIM_PARAMETER c_secondary_port CLK_IN2
|
||||
SET_SIM_PARAMETER c_clk_out1_port CLK_OUT1
|
||||
SET_SIM_PARAMETER c_clk_out2_port CLK_OUT2
|
||||
SET_SIM_PARAMETER c_clk_out3_port CLK_OUT3
|
||||
SET_SIM_PARAMETER c_clk_out4_port CLK_OUT4
|
||||
SET_SIM_PARAMETER c_clk_out5_port CLK_OUT5
|
||||
SET_SIM_PARAMETER c_clk_out6_port CLK_OUT6
|
||||
SET_SIM_PARAMETER c_clk_out7_port CLK_OUT7
|
||||
SET_SIM_PARAMETER c_reset_port RESET
|
||||
SET_SIM_PARAMETER c_locked_port LOCKED
|
||||
SET_SIM_PARAMETER c_clkfb_in_port CLKFB_IN
|
||||
SET_SIM_PARAMETER c_clkfb_in_p_port CLKFB_IN_P
|
||||
SET_SIM_PARAMETER c_clkfb_in_n_port CLKFB_IN_N
|
||||
SET_SIM_PARAMETER c_clkfb_out_port CLKFB_OUT
|
||||
SET_SIM_PARAMETER c_clkfb_out_p_port CLKFB_OUT_P
|
||||
SET_SIM_PARAMETER c_clkfb_out_n_port CLKFB_OUT_N
|
||||
SET_SIM_PARAMETER c_power_down_port POWER_DOWN
|
||||
SET_SIM_PARAMETER c_daddr_port DADDR
|
||||
SET_SIM_PARAMETER c_dclk_port DCLK
|
||||
SET_SIM_PARAMETER c_drdy_port DRDY
|
||||
SET_SIM_PARAMETER c_dwe_port DWE
|
||||
SET_SIM_PARAMETER c_din_port DIN
|
||||
SET_SIM_PARAMETER c_dout_port DOUT
|
||||
SET_SIM_PARAMETER c_den_port DEN
|
||||
SET_SIM_PARAMETER c_psclk_port PSCLK
|
||||
SET_SIM_PARAMETER c_psen_port PSEN
|
||||
SET_SIM_PARAMETER c_psincdec_port PSINCDEC
|
||||
SET_SIM_PARAMETER c_psdone_port PSDONE
|
||||
SET_SIM_PARAMETER c_clk_valid_port CLK_VALID
|
||||
SET_SIM_PARAMETER c_status_port STATUS
|
||||
SET_SIM_PARAMETER c_clk_in_sel_port CLK_IN_SEL
|
||||
SET_SIM_PARAMETER c_input_clk_stopped_port INPUT_CLK_STOPPED
|
||||
SET_SIM_PARAMETER c_clkfb_stopped_port CLKFB_STOPPED
|
||||
SET_SIM_PARAMETER c_clkin1_jitter_ps 200.0
|
||||
SET_SIM_PARAMETER c_clkin2_jitter_ps 100.0
|
||||
SET_SIM_PARAMETER c_primitive MMCM
|
||||
SET_SIM_PARAMETER c_ss_mode CENTER_HIGH
|
||||
SET_SIM_PARAMETER c_ss_mod_period 4000
|
||||
SET_CORE_NAME Clocking Wizard
|
||||
SET_CORE_VERSION 3.6
|
||||
SET_CORE_VLNV xilinx.com:ip:clk_wiz:3.6
|
||||
SET_CORE_CLASS com.xilinx.ip.clk_wiz_v3_6.clk_wiz_v3_6
|
||||
SET_CORE_PATH /opt/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6
|
||||
SET_CORE_GUIPATH /opt/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6/gui/clk_wiz_v3_6.tcl
|
||||
SET_CORE_DATASHEET /opt/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6/doc/pg065_clk_wiz.pdf
|
||||
ADD_CORE_DOCUMENT </opt/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6/doc/pg065_clk_wiz.pdf><pg065_clk_wiz.pdf>
|
||||
ADD_CORE_DOCUMENT </opt/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6/doc/clk_wiz_v3_6_readme.txt><clk_wiz_v3_6_readme.txt>
|
||||
ADD_CORE_DOCUMENT </opt/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6/doc/clk_wiz_v3_6_vinfo.html><clk_wiz_v3_6_vinfo.html>
|
505
ipcore_dir/tmp/customization_gui.0.232841994722.out
Normal file
505
ipcore_dir/tmp/customization_gui.0.232841994722.out
Normal file
|
@ -0,0 +1,505 @@
|
|||
SET_FLAG DEBUG FALSE
|
||||
SET_FLAG MODE BATCH
|
||||
SET_FLAG STANDALONE_MODE FALSE
|
||||
SET_PREFERENCE devicefamily spartan6
|
||||
SET_PREFERENCE device xc6slx9
|
||||
SET_PREFERENCE speedgrade -2
|
||||
SET_PREFERENCE package tqg144
|
||||
SET_PREFERENCE verilogsim true
|
||||
SET_PREFERENCE vhdlsim false
|
||||
SET_PREFERENCE simulationfiles Behavioral
|
||||
SET_PREFERENCE busformat BusFormatAngleBracketNotRipped
|
||||
SET_PREFERENCE outputdirectory /home/tim/Projects/fpga/micro_test/ipcore_dir/
|
||||
SET_PREFERENCE workingdirectory /home/tim/Projects/fpga/micro_test/ipcore_dir/tmp/
|
||||
SET_PREFERENCE subworkingdirectory /home/tim/Projects/fpga/micro_test/ipcore_dir/tmp/_cg/
|
||||
SET_PREFERENCE transientdirectory /home/tim/Projects/fpga/micro_test/ipcore_dir/tmp/_cg/_dbg/
|
||||
SET_PREFERENCE designentry Verilog
|
||||
SET_PREFERENCE flowvendor Other
|
||||
SET_PREFERENCE addpads false
|
||||
SET_PREFERENCE projectname coregen
|
||||
SET_PREFERENCE formalverification false
|
||||
SET_PREFERENCE asysymbol false
|
||||
SET_PREFERENCE implementationfiletype Ngc
|
||||
SET_PREFERENCE foundationsym false
|
||||
SET_PREFERENCE createndf false
|
||||
SET_PREFERENCE removerpms false
|
||||
SET_PARAMETER Component_Name clk_wiz
|
||||
SET_PARAMETER Use_Freq_Synth true
|
||||
SET_PARAMETER Use_Phase_Alignment false
|
||||
SET_PARAMETER Use_Min_Power false
|
||||
SET_PARAMETER Use_Dyn_Phase_Shift false
|
||||
SET_PARAMETER Use_Dyn_Reconfig false
|
||||
SET_PARAMETER Jitter_Sel No_Jitter
|
||||
SET_PARAMETER Use_Spread_Spectrum false
|
||||
SET_PARAMETER Use_Spread_Spectrum_1 false
|
||||
SET_PARAMETER Prim_In_Freq 50.000
|
||||
SET_PARAMETER In_Freq_Units Units_MHz
|
||||
SET_PARAMETER In_Jitter_Units Units_UI
|
||||
SET_PARAMETER Relative_Inclk REL_PRIMARY
|
||||
SET_PARAMETER Secondary_In_Freq 100.000
|
||||
SET_PARAMETER Jitter_Options UI
|
||||
SET_PARAMETER Clkin1_UI_Jitter 0.010
|
||||
SET_PARAMETER Clkin2_UI_Jitter 0.010
|
||||
SET_PARAMETER Prim_In_Jitter 0.010
|
||||
SET_PARAMETER Secondary_In_Jitter 0.010
|
||||
SET_PARAMETER Clkin1_Jitter_Ps 200.0
|
||||
SET_PARAMETER Clkin2_Jitter_Ps 100.0
|
||||
SET_PARAMETER Clkout2_Used false
|
||||
SET_PARAMETER Clkout3_Used false
|
||||
SET_PARAMETER Clkout4_Used false
|
||||
SET_PARAMETER Clkout5_Used false
|
||||
SET_PARAMETER Clkout6_Used false
|
||||
SET_PARAMETER Clkout7_Used false
|
||||
SET_PARAMETER Num_Out_Clks 1
|
||||
SET_PARAMETER Clk_Out1_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER Clk_Out2_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER Clk_Out3_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER Clk_Out4_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER Clk_Out5_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER Clk_Out6_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER Clk_Out7_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER primary_port CLK_IN1
|
||||
SET_PARAMETER CLK_OUT1_port CLK_OUT1
|
||||
SET_PARAMETER CLK_OUT2_port CLK_OUT2
|
||||
SET_PARAMETER CLK_OUT3_port CLK_OUT3
|
||||
SET_PARAMETER CLK_OUT4_port CLK_OUT4
|
||||
SET_PARAMETER CLK_OUT5_port CLK_OUT5
|
||||
SET_PARAMETER CLK_OUT6_port CLK_OUT6
|
||||
SET_PARAMETER CLK_OUT7_port CLK_OUT7
|
||||
SET_PARAMETER DADDR_port DADDR
|
||||
SET_PARAMETER DCLK_port DCLK
|
||||
SET_PARAMETER DRDY_port DRDY
|
||||
SET_PARAMETER DWE_port DWE
|
||||
SET_PARAMETER DIN_port DIN
|
||||
SET_PARAMETER DOUT_port DOUT
|
||||
SET_PARAMETER DEN_port DEN
|
||||
SET_PARAMETER PSCLK_port PSCLK
|
||||
SET_PARAMETER PSEN_port PSEN
|
||||
SET_PARAMETER PSINCDEC_port PSINCDEC
|
||||
SET_PARAMETER PSDONE_port PSDONE
|
||||
SET_PARAMETER Clkout1_Requested_Out_Freq 75.000
|
||||
SET_PARAMETER Clkout1_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout1_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Clkout2_Requested_Out_Freq 100.000
|
||||
SET_PARAMETER Clkout2_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout2_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Clkout3_Requested_Out_Freq 100.000
|
||||
SET_PARAMETER Clkout3_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout3_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Clkout4_Requested_Out_Freq 100.000
|
||||
SET_PARAMETER Clkout4_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout4_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Clkout5_Requested_Out_Freq 100.000
|
||||
SET_PARAMETER Clkout5_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout5_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Clkout6_Requested_Out_Freq 100.000
|
||||
SET_PARAMETER Clkout6_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout6_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Clkout7_Requested_Out_Freq 100.000
|
||||
SET_PARAMETER Clkout7_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout7_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Use_Max_I_Jitter false
|
||||
SET_PARAMETER Use_Min_O_Jitter false
|
||||
SET_PARAMETER Prim_Source Single_ended_clock_capable_pin
|
||||
SET_PARAMETER Use_Inclk_Switchover false
|
||||
SET_PARAMETER secondary_port CLK_IN2
|
||||
SET_PARAMETER Secondary_Source Single_ended_clock_capable_pin
|
||||
SET_PARAMETER Clkout1_Drives BUFG
|
||||
SET_PARAMETER Clkout2_Drives BUFG
|
||||
SET_PARAMETER Clkout3_Drives BUFG
|
||||
SET_PARAMETER Clkout4_Drives BUFG
|
||||
SET_PARAMETER Clkout5_Drives BUFG
|
||||
SET_PARAMETER Clkout6_Drives BUFG
|
||||
SET_PARAMETER Clkout7_Drives BUFG
|
||||
SET_PARAMETER Feedback_Source FDBK_AUTO
|
||||
SET_PARAMETER Clkfb_In_Signaling SINGLE
|
||||
SET_PARAMETER CLKFB_IN_port CLKFB_IN
|
||||
SET_PARAMETER CLKFB_IN_P_port CLKFB_IN_P
|
||||
SET_PARAMETER CLKFB_IN_N_port CLKFB_IN_N
|
||||
SET_PARAMETER CLKFB_OUT_port CLKFB_OUT
|
||||
SET_PARAMETER CLKFB_OUT_P_port CLKFB_OUT_P
|
||||
SET_PARAMETER CLKFB_OUT_N_port CLKFB_OUT_N
|
||||
SET_PARAMETER Platform lin64
|
||||
SET_PARAMETER Summary_Strings empty
|
||||
SET_PARAMETER Use_Locked false
|
||||
SET_PARAMETER calc_done DONE
|
||||
SET_PARAMETER Use_Reset false
|
||||
SET_PARAMETER Use_Power_Down false
|
||||
SET_PARAMETER Use_Status false
|
||||
SET_PARAMETER Use_Freeze false
|
||||
SET_PARAMETER Use_Clk_Valid false
|
||||
SET_PARAMETER Use_Inclk_Stopped false
|
||||
SET_PARAMETER Use_Clkfb_Stopped false
|
||||
SET_PARAMETER RESET_port RESET
|
||||
SET_PARAMETER LOCKED_port LOCKED
|
||||
SET_PARAMETER Power_Down_port POWER_DOWN
|
||||
SET_PARAMETER CLK_VALID_port CLK_VALID
|
||||
SET_PARAMETER STATUS_port STATUS
|
||||
SET_PARAMETER CLK_IN_SEL_port CLK_IN_SEL
|
||||
SET_PARAMETER INPUT_CLK_STOPPED_port INPUT_CLK_STOPPED
|
||||
SET_PARAMETER CLKFB_STOPPED_port CLKFB_STOPPED
|
||||
SET_PARAMETER Override_Mmcm false
|
||||
SET_PARAMETER Mmcm_Notes None
|
||||
SET_PARAMETER Mmcm_Divclk_Divide 1
|
||||
SET_PARAMETER Mmcm_Bandwidth OPTIMIZED
|
||||
SET_PARAMETER Mmcm_Clkfbout_Mult_F 4.000
|
||||
SET_PARAMETER Mmcm_Clkfbout_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkfbout_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkin1_Period 10.000
|
||||
SET_PARAMETER Mmcm_Clkin2_Period 10.000
|
||||
SET_PARAMETER Mmcm_Clkout4_Cascade false
|
||||
SET_PARAMETER Mmcm_Clock_Hold false
|
||||
SET_PARAMETER Mmcm_Compensation ZHOLD
|
||||
SET_PARAMETER Mmcm_Ref_Jitter1 0.010
|
||||
SET_PARAMETER Mmcm_Ref_Jitter2 0.010
|
||||
SET_PARAMETER Mmcm_Startup_Wait false
|
||||
SET_PARAMETER Mmcm_Clkout0_Divide_F 4.000
|
||||
SET_PARAMETER Mmcm_Clkout0_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout0_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout0_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkout1_Divide 1
|
||||
SET_PARAMETER Mmcm_Clkout1_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout1_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout1_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkout2_Divide 1
|
||||
SET_PARAMETER Mmcm_Clkout2_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout2_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout2_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkout3_Divide 1
|
||||
SET_PARAMETER Mmcm_Clkout3_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout3_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout3_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkout4_Divide 1
|
||||
SET_PARAMETER Mmcm_Clkout4_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout4_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout4_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkout5_Divide 1
|
||||
SET_PARAMETER Mmcm_Clkout5_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout5_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout5_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkout6_Divide 1
|
||||
SET_PARAMETER Mmcm_Clkout6_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout6_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout6_Use_Fine_Ps false
|
||||
SET_PARAMETER Override_Dcm false
|
||||
SET_PARAMETER Dcm_Notes None
|
||||
SET_PARAMETER Dcm_Clkdv_Divide 2.0
|
||||
SET_PARAMETER Dcm_Clkfx_Divide 2
|
||||
SET_PARAMETER Dcm_Clkfx_Multiply 3
|
||||
SET_PARAMETER Dcm_Clkin_Divide_By_2 false
|
||||
SET_PARAMETER Dcm_Clkin_Period 20.000
|
||||
SET_PARAMETER Dcm_Clkout_Phase_Shift NONE
|
||||
SET_PARAMETER Dcm_Deskew_Adjust SYSTEM_SYNCHRONOUS
|
||||
SET_PARAMETER Dcm_Phase_Shift 0
|
||||
SET_PARAMETER Dcm_Clk_Feedback NONE
|
||||
SET_PARAMETER Dcm_Startup_Wait false
|
||||
SET_PARAMETER Dcm_Clk_Out1_Port CLKFX
|
||||
SET_PARAMETER Dcm_Clk_Out2_Port CLK0
|
||||
SET_PARAMETER Dcm_Clk_Out3_Port CLK0
|
||||
SET_PARAMETER Dcm_Clk_Out4_Port CLK0
|
||||
SET_PARAMETER Dcm_Clk_Out5_Port CLK0
|
||||
SET_PARAMETER Dcm_Clk_Out6_Port CLK0
|
||||
SET_PARAMETER Override_Dcm_Clkgen false
|
||||
SET_PARAMETER Dcm_Clkgen_Notes None
|
||||
SET_PARAMETER Dcm_Clkgen_Clkfx_Divide 1
|
||||
SET_PARAMETER Dcm_Clkgen_Clkfx_Multiply 4
|
||||
SET_PARAMETER Dcm_Clkgen_Clkfxdv_Divide 2
|
||||
SET_PARAMETER Dcm_Clkgen_Clkfx_Md_Max 0.000
|
||||
SET_PARAMETER Dcm_Clkgen_Startup_Wait false
|
||||
SET_PARAMETER Dcm_Clkgen_Clkin_Period 10.000
|
||||
SET_PARAMETER Dcm_Clkgen_Spread_Spectrum NONE
|
||||
SET_PARAMETER Dcm_Clkgen_Clk_Out1_Port CLKFX
|
||||
SET_PARAMETER Dcm_Clkgen_Clk_Out2_Port CLKFX
|
||||
SET_PARAMETER Dcm_Clkgen_Clk_Out3_Port CLKFX
|
||||
SET_PARAMETER Override_Pll false
|
||||
SET_PARAMETER Pll_Notes None
|
||||
SET_PARAMETER Pll_Bandwidth OPTIMIZED
|
||||
SET_PARAMETER Pll_Clkfbout_Mult 4
|
||||
SET_PARAMETER Pll_Clkfbout_Phase 0.000
|
||||
SET_PARAMETER Pll_Clk_Feedback CLKFBOUT
|
||||
SET_PARAMETER Pll_Divclk_Divide 1
|
||||
SET_PARAMETER Pll_Clkin_Period 10.000
|
||||
SET_PARAMETER Pll_Compensation INTERNAL
|
||||
SET_PARAMETER Pll_Ref_Jitter 0.010
|
||||
SET_PARAMETER Pll_Clkout0_Divide 1
|
||||
SET_PARAMETER Pll_Clkout0_Duty_Cycle 0.500
|
||||
SET_PARAMETER Pll_Clkout0_Phase 0.000
|
||||
SET_PARAMETER Pll_Clkout1_Divide 1
|
||||
SET_PARAMETER Pll_Clkout1_Duty_Cycle 0.500
|
||||
SET_PARAMETER Pll_Clkout1_Phase 0.000
|
||||
SET_PARAMETER Pll_Clkout2_Divide 1
|
||||
SET_PARAMETER Pll_Clkout2_Duty_Cycle 0.500
|
||||
SET_PARAMETER Pll_Clkout2_Phase 0.000
|
||||
SET_PARAMETER Pll_Clkout3_Divide 1
|
||||
SET_PARAMETER Pll_Clkout3_Duty_Cycle 0.500
|
||||
SET_PARAMETER Pll_Clkout3_Phase 0.000
|
||||
SET_PARAMETER Pll_Clkout4_Divide 1
|
||||
SET_PARAMETER Pll_Clkout4_Duty_Cycle 0.500
|
||||
SET_PARAMETER Pll_Clkout4_Phase 0.000
|
||||
SET_PARAMETER Pll_Clkout5_Divide 1
|
||||
SET_PARAMETER Pll_Clkout5_Duty_Cycle 0.500
|
||||
SET_PARAMETER Pll_Clkout5_Phase 0.000
|
||||
SET_PARAMETER dcm_pll_cascade NONE
|
||||
SET_PARAMETER clock_mgr_type AUTO
|
||||
SET_PARAMETER primtype_sel PLL_BASE
|
||||
SET_PARAMETER primitive MMCM
|
||||
SET_PARAMETER SS_Mode CENTER_HIGH
|
||||
SET_PARAMETER SS_Mod_Freq 250
|
||||
SET_SIM_PARAMETER c_clkout2_used 0
|
||||
SET_SIM_PARAMETER c_clkout3_used 0
|
||||
SET_SIM_PARAMETER c_clkout4_used 0
|
||||
SET_SIM_PARAMETER c_clkout5_used 0
|
||||
SET_SIM_PARAMETER c_clkout6_used 0
|
||||
SET_SIM_PARAMETER c_clkout7_used 0
|
||||
SET_SIM_PARAMETER c_use_clkout1_bar 0
|
||||
SET_SIM_PARAMETER c_use_clkout2_bar 0
|
||||
SET_SIM_PARAMETER c_use_clkout3_bar 0
|
||||
SET_SIM_PARAMETER c_use_clkout4_bar 0
|
||||
SET_SIM_PARAMETER c_component_name clk_wiz
|
||||
SET_SIM_PARAMETER c_platform lin64
|
||||
SET_SIM_PARAMETER c_use_freq_synth 1
|
||||
SET_SIM_PARAMETER c_use_phase_alignment 0
|
||||
SET_SIM_PARAMETER c_prim_in_jitter 0.010
|
||||
SET_SIM_PARAMETER c_secondary_in_jitter 0.010
|
||||
SET_SIM_PARAMETER c_jitter_sel No_Jitter
|
||||
SET_SIM_PARAMETER c_use_min_power 0
|
||||
SET_SIM_PARAMETER c_use_min_o_jitter 0
|
||||
SET_SIM_PARAMETER c_use_max_i_jitter 0
|
||||
SET_SIM_PARAMETER c_use_dyn_phase_shift 0
|
||||
SET_SIM_PARAMETER c_use_inclk_switchover 0
|
||||
SET_SIM_PARAMETER c_use_dyn_reconfig 0
|
||||
SET_SIM_PARAMETER c_use_spread_spectrum 0
|
||||
SET_SIM_PARAMETER c_use_spread_spectrum_1 0
|
||||
SET_SIM_PARAMETER c_primtype_sel DCM_SP
|
||||
SET_SIM_PARAMETER c_use_clk_valid 0
|
||||
SET_SIM_PARAMETER c_prim_in_freq 50.000
|
||||
SET_SIM_PARAMETER c_in_freq_units Units_MHz
|
||||
SET_SIM_PARAMETER c_secondary_in_freq 100.000
|
||||
SET_SIM_PARAMETER c_feedback_source FDBK_AUTO
|
||||
SET_SIM_PARAMETER c_prim_source Single_ended_clock_capable_pin
|
||||
SET_SIM_PARAMETER c_secondary_source Single_ended_clock_capable_pin
|
||||
SET_SIM_PARAMETER c_clkfb_in_signaling SINGLE
|
||||
SET_SIM_PARAMETER c_use_reset 0
|
||||
SET_SIM_PARAMETER c_use_locked 0
|
||||
SET_SIM_PARAMETER c_use_inclk_stopped 0
|
||||
SET_SIM_PARAMETER c_use_clkfb_stopped 0
|
||||
SET_SIM_PARAMETER c_use_power_down 0
|
||||
SET_SIM_PARAMETER c_use_status 0
|
||||
SET_SIM_PARAMETER c_use_freeze 0
|
||||
SET_SIM_PARAMETER c_num_out_clks 1
|
||||
SET_SIM_PARAMETER c_clkout1_drives BUFG
|
||||
SET_SIM_PARAMETER c_clkout2_drives BUFG
|
||||
SET_SIM_PARAMETER c_clkout3_drives BUFG
|
||||
SET_SIM_PARAMETER c_clkout4_drives BUFG
|
||||
SET_SIM_PARAMETER c_clkout5_drives BUFG
|
||||
SET_SIM_PARAMETER c_clkout6_drives BUFG
|
||||
SET_SIM_PARAMETER c_clkout7_drives BUFG
|
||||
SET_SIM_PARAMETER c_inclk_sum_row0 "Input Clock Freq (MHz) Input Jitter (UI)"
|
||||
SET_SIM_PARAMETER c_inclk_sum_row1 __primary__________50.000____________0.010
|
||||
SET_SIM_PARAMETER c_inclk_sum_row2 no_secondary_input_clock
|
||||
SET_SIM_PARAMETER c_outclk_sum_row0a "Output Output Phase Duty Pk-to-Pk Phase"
|
||||
SET_SIM_PARAMETER c_outclk_sum_row0b "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
|
||||
SET_SIM_PARAMETER c_outclk_sum_row1 CLK_OUT1____75.000______0.000______50.0______466.667____150.000
|
||||
SET_SIM_PARAMETER c_outclk_sum_row2 no_CLK_OUT2_output
|
||||
SET_SIM_PARAMETER c_outclk_sum_row3 no_CLK_OUT3_output
|
||||
SET_SIM_PARAMETER c_outclk_sum_row4 no_CLK_OUT4_output
|
||||
SET_SIM_PARAMETER c_outclk_sum_row5 no_CLK_OUT5_output
|
||||
SET_SIM_PARAMETER c_outclk_sum_row6 no_CLK_OUT6_output
|
||||
SET_SIM_PARAMETER c_outclk_sum_row7 no_CLK_OUT7_output
|
||||
SET_SIM_PARAMETER c_clkout1_requested_out_freq 75.000
|
||||
SET_SIM_PARAMETER c_clkout2_requested_out_freq 100.000
|
||||
SET_SIM_PARAMETER c_clkout3_requested_out_freq 100.000
|
||||
SET_SIM_PARAMETER c_clkout4_requested_out_freq 100.000
|
||||
SET_SIM_PARAMETER c_clkout5_requested_out_freq 100.000
|
||||
SET_SIM_PARAMETER c_clkout6_requested_out_freq 100.000
|
||||
SET_SIM_PARAMETER c_clkout7_requested_out_freq 100.000
|
||||
SET_SIM_PARAMETER c_clkout1_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout2_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout3_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout4_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout5_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout6_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout7_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout1_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout2_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout3_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout4_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout5_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout6_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout7_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout1_out_freq 75.000
|
||||
SET_SIM_PARAMETER c_clkout2_out_freq N/A
|
||||
SET_SIM_PARAMETER c_clkout3_out_freq N/A
|
||||
SET_SIM_PARAMETER c_clkout4_out_freq N/A
|
||||
SET_SIM_PARAMETER c_clkout5_out_freq N/A
|
||||
SET_SIM_PARAMETER c_clkout6_out_freq N/A
|
||||
SET_SIM_PARAMETER c_clkout7_out_freq N/A
|
||||
SET_SIM_PARAMETER c_clkout1_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout2_phase N/A
|
||||
SET_SIM_PARAMETER c_clkout3_phase N/A
|
||||
SET_SIM_PARAMETER c_clkout4_phase N/A
|
||||
SET_SIM_PARAMETER c_clkout5_phase N/A
|
||||
SET_SIM_PARAMETER c_clkout6_phase N/A
|
||||
SET_SIM_PARAMETER c_clkout7_phase N/A
|
||||
SET_SIM_PARAMETER c_clkout1_duty_cycle 50.0
|
||||
SET_SIM_PARAMETER c_clkout2_duty_cycle N/A
|
||||
SET_SIM_PARAMETER c_clkout3_duty_cycle N/A
|
||||
SET_SIM_PARAMETER c_clkout4_duty_cycle N/A
|
||||
SET_SIM_PARAMETER c_clkout5_duty_cycle N/A
|
||||
SET_SIM_PARAMETER c_clkout6_duty_cycle N/A
|
||||
SET_SIM_PARAMETER c_clkout7_duty_cycle N/A
|
||||
SET_SIM_PARAMETER c_mmcm_notes None
|
||||
SET_SIM_PARAMETER c_mmcm_bandwidth OPTIMIZED
|
||||
SET_SIM_PARAMETER c_mmcm_clkfbout_mult_f 4.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkin1_period 10.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkin2_period 10.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout4_cascade FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clock_hold FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_compensation ZHOLD
|
||||
SET_SIM_PARAMETER c_mmcm_divclk_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_ref_jitter1 0.010
|
||||
SET_SIM_PARAMETER c_mmcm_ref_jitter2 0.010
|
||||
SET_SIM_PARAMETER c_mmcm_startup_wait FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout0_divide_f 4.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout1_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_clkout2_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_clkout3_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_clkout4_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_clkout5_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_clkout6_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_clkout0_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkout1_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkout2_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkout3_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkout4_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkout5_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkout6_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkfbout_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout0_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout1_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout2_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout3_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout4_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout5_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout6_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkfbout_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout0_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout1_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout2_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout3_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout4_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout5_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout6_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_pll_notes None
|
||||
SET_SIM_PARAMETER c_pll_bandwidth OPTIMIZED
|
||||
SET_SIM_PARAMETER c_pll_clk_feedback CLKFBOUT
|
||||
SET_SIM_PARAMETER c_pll_clkfbout_mult 4
|
||||
SET_SIM_PARAMETER c_pll_clkin_period 10.000
|
||||
SET_SIM_PARAMETER c_pll_compensation INTERNAL
|
||||
SET_SIM_PARAMETER c_pll_divclk_divide 1
|
||||
SET_SIM_PARAMETER c_pll_ref_jitter 0.010
|
||||
SET_SIM_PARAMETER c_pll_clkout0_divide 1
|
||||
SET_SIM_PARAMETER c_pll_clkout1_divide 1
|
||||
SET_SIM_PARAMETER c_pll_clkout2_divide 1
|
||||
SET_SIM_PARAMETER c_pll_clkout3_divide 1
|
||||
SET_SIM_PARAMETER c_pll_clkout4_divide 1
|
||||
SET_SIM_PARAMETER c_pll_clkout5_divide 1
|
||||
SET_SIM_PARAMETER c_pll_clkout0_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_pll_clkout1_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_pll_clkout2_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_pll_clkout3_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_pll_clkout4_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_pll_clkout5_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_pll_clkfbout_phase 0.000
|
||||
SET_SIM_PARAMETER c_pll_clkout0_phase 0.000
|
||||
SET_SIM_PARAMETER c_pll_clkout1_phase 0.000
|
||||
SET_SIM_PARAMETER c_pll_clkout2_phase 0.000
|
||||
SET_SIM_PARAMETER c_pll_clkout3_phase 0.000
|
||||
SET_SIM_PARAMETER c_pll_clkout4_phase 0.000
|
||||
SET_SIM_PARAMETER c_pll_clkout5_phase 0.000
|
||||
SET_SIM_PARAMETER c_dcm_notes None
|
||||
SET_SIM_PARAMETER c_dcm_clkdv_divide 2.000
|
||||
SET_SIM_PARAMETER c_dcm_clkfx_divide 2
|
||||
SET_SIM_PARAMETER c_dcm_clkfx_multiply 3
|
||||
SET_SIM_PARAMETER c_dcm_clkin_divide_by_2 FALSE
|
||||
SET_SIM_PARAMETER c_dcm_clkin_period 20.0
|
||||
SET_SIM_PARAMETER c_dcm_clkout_phase_shift NONE
|
||||
SET_SIM_PARAMETER c_dcm_clk_feedback NONE
|
||||
SET_SIM_PARAMETER c_dcm_clk_feedback_port NONE
|
||||
SET_SIM_PARAMETER c_dcm_deskew_adjust SYSTEM_SYNCHRONOUS
|
||||
SET_SIM_PARAMETER c_dcm_phase_shift 0
|
||||
SET_SIM_PARAMETER c_dcm_startup_wait FALSE
|
||||
SET_SIM_PARAMETER c_dcm_clk_out1_port CLKFX
|
||||
SET_SIM_PARAMETER c_dcm_clk_out2_port NONE
|
||||
SET_SIM_PARAMETER c_dcm_clk_out3_port NONE
|
||||
SET_SIM_PARAMETER c_dcm_clk_out4_port NONE
|
||||
SET_SIM_PARAMETER c_dcm_clk_out5_port NONE
|
||||
SET_SIM_PARAMETER c_dcm_clk_out6_port NONE
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_notes None
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clkfxdv_divide 2
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clkfx_divide 1
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clkfx_multiply 4
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_dfs_bandwidth OPTIMIZED
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_prog_md_bandwidth OPTIMIZED
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clkin_period 20.0
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clkfx_md_max 0.000
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_spread_spectrum NONE
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_startup_wait FALSE
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clk_out1_port CLKFX
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clk_out2_port NONE
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clk_out3_port NONE
|
||||
SET_SIM_PARAMETER c_clock_mgr_type AUTO
|
||||
SET_SIM_PARAMETER c_override_mmcm 0
|
||||
SET_SIM_PARAMETER c_override_pll 0
|
||||
SET_SIM_PARAMETER c_override_dcm 0
|
||||
SET_SIM_PARAMETER c_override_dcm_clkgen 0
|
||||
SET_SIM_PARAMETER c_dcm_pll_cascade NONE
|
||||
SET_SIM_PARAMETER c_primary_port CLK_IN1
|
||||
SET_SIM_PARAMETER c_secondary_port CLK_IN2
|
||||
SET_SIM_PARAMETER c_clk_out1_port CLK_OUT1
|
||||
SET_SIM_PARAMETER c_clk_out2_port CLK_OUT2
|
||||
SET_SIM_PARAMETER c_clk_out3_port CLK_OUT3
|
||||
SET_SIM_PARAMETER c_clk_out4_port CLK_OUT4
|
||||
SET_SIM_PARAMETER c_clk_out5_port CLK_OUT5
|
||||
SET_SIM_PARAMETER c_clk_out6_port CLK_OUT6
|
||||
SET_SIM_PARAMETER c_clk_out7_port CLK_OUT7
|
||||
SET_SIM_PARAMETER c_reset_port RESET
|
||||
SET_SIM_PARAMETER c_locked_port LOCKED
|
||||
SET_SIM_PARAMETER c_clkfb_in_port CLKFB_IN
|
||||
SET_SIM_PARAMETER c_clkfb_in_p_port CLKFB_IN_P
|
||||
SET_SIM_PARAMETER c_clkfb_in_n_port CLKFB_IN_N
|
||||
SET_SIM_PARAMETER c_clkfb_out_port CLKFB_OUT
|
||||
SET_SIM_PARAMETER c_clkfb_out_p_port CLKFB_OUT_P
|
||||
SET_SIM_PARAMETER c_clkfb_out_n_port CLKFB_OUT_N
|
||||
SET_SIM_PARAMETER c_power_down_port POWER_DOWN
|
||||
SET_SIM_PARAMETER c_daddr_port DADDR
|
||||
SET_SIM_PARAMETER c_dclk_port DCLK
|
||||
SET_SIM_PARAMETER c_drdy_port DRDY
|
||||
SET_SIM_PARAMETER c_dwe_port DWE
|
||||
SET_SIM_PARAMETER c_din_port DIN
|
||||
SET_SIM_PARAMETER c_dout_port DOUT
|
||||
SET_SIM_PARAMETER c_den_port DEN
|
||||
SET_SIM_PARAMETER c_psclk_port PSCLK
|
||||
SET_SIM_PARAMETER c_psen_port PSEN
|
||||
SET_SIM_PARAMETER c_psincdec_port PSINCDEC
|
||||
SET_SIM_PARAMETER c_psdone_port PSDONE
|
||||
SET_SIM_PARAMETER c_clk_valid_port CLK_VALID
|
||||
SET_SIM_PARAMETER c_status_port STATUS
|
||||
SET_SIM_PARAMETER c_clk_in_sel_port CLK_IN_SEL
|
||||
SET_SIM_PARAMETER c_input_clk_stopped_port INPUT_CLK_STOPPED
|
||||
SET_SIM_PARAMETER c_clkfb_stopped_port CLKFB_STOPPED
|
||||
SET_SIM_PARAMETER c_clkin1_jitter_ps 200.0
|
||||
SET_SIM_PARAMETER c_clkin2_jitter_ps 100.0
|
||||
SET_SIM_PARAMETER c_primitive MMCM
|
||||
SET_SIM_PARAMETER c_ss_mode CENTER_HIGH
|
||||
SET_SIM_PARAMETER c_ss_mod_period 4000
|
||||
SET_CORE_NAME Clocking Wizard
|
||||
SET_CORE_VERSION 3.6
|
||||
SET_CORE_VLNV xilinx.com:ip:clk_wiz:3.6
|
||||
SET_CORE_CLASS com.xilinx.ip.clk_wiz_v3_6.clk_wiz_v3_6
|
||||
SET_CORE_PATH /opt/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6
|
||||
SET_CORE_GUIPATH /opt/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6/gui/clk_wiz_v3_6.tcl
|
||||
SET_CORE_DATASHEET /opt/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6/doc/pg065_clk_wiz.pdf
|
||||
ADD_CORE_DOCUMENT </opt/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6/doc/pg065_clk_wiz.pdf><pg065_clk_wiz.pdf>
|
||||
ADD_CORE_DOCUMENT </opt/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6/doc/clk_wiz_v3_6_readme.txt><clk_wiz_v3_6_readme.txt>
|
||||
ADD_CORE_DOCUMENT </opt/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6/doc/clk_wiz_v3_6_vinfo.html><clk_wiz_v3_6_vinfo.html>
|
505
ipcore_dir/tmp/customization_gui.0.241021374353.out
Normal file
505
ipcore_dir/tmp/customization_gui.0.241021374353.out
Normal file
|
@ -0,0 +1,505 @@
|
|||
SET_FLAG DEBUG FALSE
|
||||
SET_FLAG MODE BATCH
|
||||
SET_FLAG STANDALONE_MODE FALSE
|
||||
SET_PREFERENCE devicefamily spartan6
|
||||
SET_PREFERENCE device xc6slx9
|
||||
SET_PREFERENCE speedgrade -2
|
||||
SET_PREFERENCE package tqg144
|
||||
SET_PREFERENCE verilogsim true
|
||||
SET_PREFERENCE vhdlsim false
|
||||
SET_PREFERENCE simulationfiles Behavioral
|
||||
SET_PREFERENCE busformat BusFormatAngleBracketNotRipped
|
||||
SET_PREFERENCE outputdirectory /home/tim/Projects/fpga/micro_test/ipcore_dir/
|
||||
SET_PREFERENCE workingdirectory /home/tim/Projects/fpga/micro_test/ipcore_dir/tmp/
|
||||
SET_PREFERENCE subworkingdirectory /home/tim/Projects/fpga/micro_test/ipcore_dir/tmp/_cg/
|
||||
SET_PREFERENCE transientdirectory /home/tim/Projects/fpga/micro_test/ipcore_dir/tmp/_cg/_dbg/
|
||||
SET_PREFERENCE designentry Verilog
|
||||
SET_PREFERENCE flowvendor Other
|
||||
SET_PREFERENCE addpads false
|
||||
SET_PREFERENCE projectname coregen
|
||||
SET_PREFERENCE formalverification false
|
||||
SET_PREFERENCE asysymbol false
|
||||
SET_PREFERENCE implementationfiletype Ngc
|
||||
SET_PREFERENCE foundationsym false
|
||||
SET_PREFERENCE createndf false
|
||||
SET_PREFERENCE removerpms false
|
||||
SET_PARAMETER Component_Name hdmi_clk
|
||||
SET_PARAMETER Use_Freq_Synth true
|
||||
SET_PARAMETER Use_Phase_Alignment false
|
||||
SET_PARAMETER Use_Min_Power false
|
||||
SET_PARAMETER Use_Dyn_Phase_Shift false
|
||||
SET_PARAMETER Use_Dyn_Reconfig false
|
||||
SET_PARAMETER Jitter_Sel No_Jitter
|
||||
SET_PARAMETER Use_Spread_Spectrum false
|
||||
SET_PARAMETER Use_Spread_Spectrum_1 false
|
||||
SET_PARAMETER Prim_In_Freq 50
|
||||
SET_PARAMETER In_Freq_Units Units_MHz
|
||||
SET_PARAMETER In_Jitter_Units Units_UI
|
||||
SET_PARAMETER Relative_Inclk REL_PRIMARY
|
||||
SET_PARAMETER Secondary_In_Freq 100.000
|
||||
SET_PARAMETER Jitter_Options UI
|
||||
SET_PARAMETER Clkin1_UI_Jitter 0.010
|
||||
SET_PARAMETER Clkin2_UI_Jitter 0.010
|
||||
SET_PARAMETER Prim_In_Jitter 0.010
|
||||
SET_PARAMETER Secondary_In_Jitter 0.010
|
||||
SET_PARAMETER Clkin1_Jitter_Ps 200.0
|
||||
SET_PARAMETER Clkin2_Jitter_Ps 100.0
|
||||
SET_PARAMETER Clkout2_Used false
|
||||
SET_PARAMETER Clkout3_Used false
|
||||
SET_PARAMETER Clkout4_Used false
|
||||
SET_PARAMETER Clkout5_Used false
|
||||
SET_PARAMETER Clkout6_Used false
|
||||
SET_PARAMETER Clkout7_Used false
|
||||
SET_PARAMETER Num_Out_Clks 1
|
||||
SET_PARAMETER Clk_Out1_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER Clk_Out2_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER Clk_Out3_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER Clk_Out4_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER Clk_Out5_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER Clk_Out6_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER Clk_Out7_Use_Fine_Ps_GUI false
|
||||
SET_PARAMETER primary_port CLK_IN1
|
||||
SET_PARAMETER CLK_OUT1_port CLK_OUT1
|
||||
SET_PARAMETER CLK_OUT2_port CLK_OUT2
|
||||
SET_PARAMETER CLK_OUT3_port CLK_OUT3
|
||||
SET_PARAMETER CLK_OUT4_port CLK_OUT4
|
||||
SET_PARAMETER CLK_OUT5_port CLK_OUT5
|
||||
SET_PARAMETER CLK_OUT6_port CLK_OUT6
|
||||
SET_PARAMETER CLK_OUT7_port CLK_OUT7
|
||||
SET_PARAMETER DADDR_port DADDR
|
||||
SET_PARAMETER DCLK_port DCLK
|
||||
SET_PARAMETER DRDY_port DRDY
|
||||
SET_PARAMETER DWE_port DWE
|
||||
SET_PARAMETER DIN_port DIN
|
||||
SET_PARAMETER DOUT_port DOUT
|
||||
SET_PARAMETER DEN_port DEN
|
||||
SET_PARAMETER PSCLK_port PSCLK
|
||||
SET_PARAMETER PSEN_port PSEN
|
||||
SET_PARAMETER PSINCDEC_port PSINCDEC
|
||||
SET_PARAMETER PSDONE_port PSDONE
|
||||
SET_PARAMETER Clkout1_Requested_Out_Freq 75
|
||||
SET_PARAMETER Clkout1_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout1_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Clkout2_Requested_Out_Freq 100.000
|
||||
SET_PARAMETER Clkout2_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout2_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Clkout3_Requested_Out_Freq 100.000
|
||||
SET_PARAMETER Clkout3_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout3_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Clkout4_Requested_Out_Freq 100.000
|
||||
SET_PARAMETER Clkout4_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout4_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Clkout5_Requested_Out_Freq 100.000
|
||||
SET_PARAMETER Clkout5_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout5_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Clkout6_Requested_Out_Freq 100.000
|
||||
SET_PARAMETER Clkout6_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout6_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Clkout7_Requested_Out_Freq 100.000
|
||||
SET_PARAMETER Clkout7_Requested_Phase 0.000
|
||||
SET_PARAMETER Clkout7_Requested_Duty_Cycle 50.000
|
||||
SET_PARAMETER Use_Max_I_Jitter false
|
||||
SET_PARAMETER Use_Min_O_Jitter false
|
||||
SET_PARAMETER Prim_Source Single_ended_clock_capable_pin
|
||||
SET_PARAMETER Use_Inclk_Switchover false
|
||||
SET_PARAMETER secondary_port CLK_IN2
|
||||
SET_PARAMETER Secondary_Source Single_ended_clock_capable_pin
|
||||
SET_PARAMETER Clkout1_Drives BUFG
|
||||
SET_PARAMETER Clkout2_Drives BUFG
|
||||
SET_PARAMETER Clkout3_Drives BUFG
|
||||
SET_PARAMETER Clkout4_Drives BUFG
|
||||
SET_PARAMETER Clkout5_Drives BUFG
|
||||
SET_PARAMETER Clkout6_Drives BUFG
|
||||
SET_PARAMETER Clkout7_Drives BUFG
|
||||
SET_PARAMETER Feedback_Source FDBK_AUTO
|
||||
SET_PARAMETER Clkfb_In_Signaling SINGLE
|
||||
SET_PARAMETER CLKFB_IN_port CLKFB_IN
|
||||
SET_PARAMETER CLKFB_IN_P_port CLKFB_IN_P
|
||||
SET_PARAMETER CLKFB_IN_N_port CLKFB_IN_N
|
||||
SET_PARAMETER CLKFB_OUT_port CLKFB_OUT
|
||||
SET_PARAMETER CLKFB_OUT_P_port CLKFB_OUT_P
|
||||
SET_PARAMETER CLKFB_OUT_N_port CLKFB_OUT_N
|
||||
SET_PARAMETER Platform lin64
|
||||
SET_PARAMETER Summary_Strings empty
|
||||
SET_PARAMETER Use_Locked false
|
||||
SET_PARAMETER calc_done DONE
|
||||
SET_PARAMETER Use_Reset false
|
||||
SET_PARAMETER Use_Power_Down false
|
||||
SET_PARAMETER Use_Status false
|
||||
SET_PARAMETER Use_Freeze false
|
||||
SET_PARAMETER Use_Clk_Valid false
|
||||
SET_PARAMETER Use_Inclk_Stopped false
|
||||
SET_PARAMETER Use_Clkfb_Stopped false
|
||||
SET_PARAMETER RESET_port RESET
|
||||
SET_PARAMETER LOCKED_port LOCKED
|
||||
SET_PARAMETER Power_Down_port POWER_DOWN
|
||||
SET_PARAMETER CLK_VALID_port CLK_VALID
|
||||
SET_PARAMETER STATUS_port STATUS
|
||||
SET_PARAMETER CLK_IN_SEL_port CLK_IN_SEL
|
||||
SET_PARAMETER INPUT_CLK_STOPPED_port INPUT_CLK_STOPPED
|
||||
SET_PARAMETER CLKFB_STOPPED_port CLKFB_STOPPED
|
||||
SET_PARAMETER Override_Mmcm false
|
||||
SET_PARAMETER Mmcm_Notes None
|
||||
SET_PARAMETER Mmcm_Divclk_Divide 1
|
||||
SET_PARAMETER Mmcm_Bandwidth OPTIMIZED
|
||||
SET_PARAMETER Mmcm_Clkfbout_Mult_F 4.000
|
||||
SET_PARAMETER Mmcm_Clkfbout_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkfbout_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkin1_Period 10.000
|
||||
SET_PARAMETER Mmcm_Clkin2_Period 10.000
|
||||
SET_PARAMETER Mmcm_Clkout4_Cascade false
|
||||
SET_PARAMETER Mmcm_Clock_Hold false
|
||||
SET_PARAMETER Mmcm_Compensation ZHOLD
|
||||
SET_PARAMETER Mmcm_Ref_Jitter1 0.010
|
||||
SET_PARAMETER Mmcm_Ref_Jitter2 0.010
|
||||
SET_PARAMETER Mmcm_Startup_Wait false
|
||||
SET_PARAMETER Mmcm_Clkout0_Divide_F 4.000
|
||||
SET_PARAMETER Mmcm_Clkout0_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout0_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout0_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkout1_Divide 1
|
||||
SET_PARAMETER Mmcm_Clkout1_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout1_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout1_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkout2_Divide 1
|
||||
SET_PARAMETER Mmcm_Clkout2_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout2_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout2_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkout3_Divide 1
|
||||
SET_PARAMETER Mmcm_Clkout3_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout3_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout3_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkout4_Divide 1
|
||||
SET_PARAMETER Mmcm_Clkout4_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout4_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout4_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkout5_Divide 1
|
||||
SET_PARAMETER Mmcm_Clkout5_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout5_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout5_Use_Fine_Ps false
|
||||
SET_PARAMETER Mmcm_Clkout6_Divide 1
|
||||
SET_PARAMETER Mmcm_Clkout6_Duty_Cycle 0.500
|
||||
SET_PARAMETER Mmcm_Clkout6_Phase 0.000
|
||||
SET_PARAMETER Mmcm_Clkout6_Use_Fine_Ps false
|
||||
SET_PARAMETER Override_Dcm false
|
||||
SET_PARAMETER Dcm_Notes None
|
||||
SET_PARAMETER Dcm_Clkdv_Divide 2.0
|
||||
SET_PARAMETER Dcm_Clkfx_Divide 2
|
||||
SET_PARAMETER Dcm_Clkfx_Multiply 3
|
||||
SET_PARAMETER Dcm_Clkin_Divide_By_2 false
|
||||
SET_PARAMETER Dcm_Clkin_Period 20.000
|
||||
SET_PARAMETER Dcm_Clkout_Phase_Shift NONE
|
||||
SET_PARAMETER Dcm_Deskew_Adjust SYSTEM_SYNCHRONOUS
|
||||
SET_PARAMETER Dcm_Phase_Shift 0
|
||||
SET_PARAMETER Dcm_Clk_Feedback NONE
|
||||
SET_PARAMETER Dcm_Startup_Wait false
|
||||
SET_PARAMETER Dcm_Clk_Out1_Port CLKFX
|
||||
SET_PARAMETER Dcm_Clk_Out2_Port CLK0
|
||||
SET_PARAMETER Dcm_Clk_Out3_Port CLK0
|
||||
SET_PARAMETER Dcm_Clk_Out4_Port CLK0
|
||||
SET_PARAMETER Dcm_Clk_Out5_Port CLK0
|
||||
SET_PARAMETER Dcm_Clk_Out6_Port CLK0
|
||||
SET_PARAMETER Override_Dcm_Clkgen false
|
||||
SET_PARAMETER Dcm_Clkgen_Notes None
|
||||
SET_PARAMETER Dcm_Clkgen_Clkfx_Divide 1
|
||||
SET_PARAMETER Dcm_Clkgen_Clkfx_Multiply 4
|
||||
SET_PARAMETER Dcm_Clkgen_Clkfxdv_Divide 2
|
||||
SET_PARAMETER Dcm_Clkgen_Clkfx_Md_Max 0.000
|
||||
SET_PARAMETER Dcm_Clkgen_Startup_Wait false
|
||||
SET_PARAMETER Dcm_Clkgen_Clkin_Period 10.000
|
||||
SET_PARAMETER Dcm_Clkgen_Spread_Spectrum NONE
|
||||
SET_PARAMETER Dcm_Clkgen_Clk_Out1_Port CLKFX
|
||||
SET_PARAMETER Dcm_Clkgen_Clk_Out2_Port CLKFX
|
||||
SET_PARAMETER Dcm_Clkgen_Clk_Out3_Port CLKFX
|
||||
SET_PARAMETER Override_Pll false
|
||||
SET_PARAMETER Pll_Notes None
|
||||
SET_PARAMETER Pll_Bandwidth OPTIMIZED
|
||||
SET_PARAMETER Pll_Clkfbout_Mult 4
|
||||
SET_PARAMETER Pll_Clkfbout_Phase 0.000
|
||||
SET_PARAMETER Pll_Clk_Feedback CLKFBOUT
|
||||
SET_PARAMETER Pll_Divclk_Divide 1
|
||||
SET_PARAMETER Pll_Clkin_Period 10.000
|
||||
SET_PARAMETER Pll_Compensation INTERNAL
|
||||
SET_PARAMETER Pll_Ref_Jitter 0.010
|
||||
SET_PARAMETER Pll_Clkout0_Divide 1
|
||||
SET_PARAMETER Pll_Clkout0_Duty_Cycle 0.500
|
||||
SET_PARAMETER Pll_Clkout0_Phase 0.000
|
||||
SET_PARAMETER Pll_Clkout1_Divide 1
|
||||
SET_PARAMETER Pll_Clkout1_Duty_Cycle 0.500
|
||||
SET_PARAMETER Pll_Clkout1_Phase 0.000
|
||||
SET_PARAMETER Pll_Clkout2_Divide 1
|
||||
SET_PARAMETER Pll_Clkout2_Duty_Cycle 0.500
|
||||
SET_PARAMETER Pll_Clkout2_Phase 0.000
|
||||
SET_PARAMETER Pll_Clkout3_Divide 1
|
||||
SET_PARAMETER Pll_Clkout3_Duty_Cycle 0.500
|
||||
SET_PARAMETER Pll_Clkout3_Phase 0.000
|
||||
SET_PARAMETER Pll_Clkout4_Divide 1
|
||||
SET_PARAMETER Pll_Clkout4_Duty_Cycle 0.500
|
||||
SET_PARAMETER Pll_Clkout4_Phase 0.000
|
||||
SET_PARAMETER Pll_Clkout5_Divide 1
|
||||
SET_PARAMETER Pll_Clkout5_Duty_Cycle 0.500
|
||||
SET_PARAMETER Pll_Clkout5_Phase 0.000
|
||||
SET_PARAMETER dcm_pll_cascade NONE
|
||||
SET_PARAMETER clock_mgr_type AUTO
|
||||
SET_PARAMETER primtype_sel PLL_BASE
|
||||
SET_PARAMETER primitive MMCM
|
||||
SET_PARAMETER SS_Mode CENTER_HIGH
|
||||
SET_PARAMETER SS_Mod_Freq 250
|
||||
SET_SIM_PARAMETER c_clkout2_used 0
|
||||
SET_SIM_PARAMETER c_clkout3_used 0
|
||||
SET_SIM_PARAMETER c_clkout4_used 0
|
||||
SET_SIM_PARAMETER c_clkout5_used 0
|
||||
SET_SIM_PARAMETER c_clkout6_used 0
|
||||
SET_SIM_PARAMETER c_clkout7_used 0
|
||||
SET_SIM_PARAMETER c_use_clkout1_bar 0
|
||||
SET_SIM_PARAMETER c_use_clkout2_bar 0
|
||||
SET_SIM_PARAMETER c_use_clkout3_bar 0
|
||||
SET_SIM_PARAMETER c_use_clkout4_bar 0
|
||||
SET_SIM_PARAMETER c_component_name hdmi_clk
|
||||
SET_SIM_PARAMETER c_platform lin64
|
||||
SET_SIM_PARAMETER c_use_freq_synth 1
|
||||
SET_SIM_PARAMETER c_use_phase_alignment 0
|
||||
SET_SIM_PARAMETER c_prim_in_jitter 0.010
|
||||
SET_SIM_PARAMETER c_secondary_in_jitter 0.010
|
||||
SET_SIM_PARAMETER c_jitter_sel No_Jitter
|
||||
SET_SIM_PARAMETER c_use_min_power 0
|
||||
SET_SIM_PARAMETER c_use_min_o_jitter 0
|
||||
SET_SIM_PARAMETER c_use_max_i_jitter 0
|
||||
SET_SIM_PARAMETER c_use_dyn_phase_shift 0
|
||||
SET_SIM_PARAMETER c_use_inclk_switchover 0
|
||||
SET_SIM_PARAMETER c_use_dyn_reconfig 0
|
||||
SET_SIM_PARAMETER c_use_spread_spectrum 0
|
||||
SET_SIM_PARAMETER c_use_spread_spectrum_1 0
|
||||
SET_SIM_PARAMETER c_primtype_sel DCM_SP
|
||||
SET_SIM_PARAMETER c_use_clk_valid 0
|
||||
SET_SIM_PARAMETER c_prim_in_freq 50
|
||||
SET_SIM_PARAMETER c_in_freq_units Units_MHz
|
||||
SET_SIM_PARAMETER c_secondary_in_freq 100.000
|
||||
SET_SIM_PARAMETER c_feedback_source FDBK_AUTO
|
||||
SET_SIM_PARAMETER c_prim_source Single_ended_clock_capable_pin
|
||||
SET_SIM_PARAMETER c_secondary_source Single_ended_clock_capable_pin
|
||||
SET_SIM_PARAMETER c_clkfb_in_signaling SINGLE
|
||||
SET_SIM_PARAMETER c_use_reset 0
|
||||
SET_SIM_PARAMETER c_use_locked 0
|
||||
SET_SIM_PARAMETER c_use_inclk_stopped 0
|
||||
SET_SIM_PARAMETER c_use_clkfb_stopped 0
|
||||
SET_SIM_PARAMETER c_use_power_down 0
|
||||
SET_SIM_PARAMETER c_use_status 0
|
||||
SET_SIM_PARAMETER c_use_freeze 0
|
||||
SET_SIM_PARAMETER c_num_out_clks 1
|
||||
SET_SIM_PARAMETER c_clkout1_drives BUFG
|
||||
SET_SIM_PARAMETER c_clkout2_drives BUFG
|
||||
SET_SIM_PARAMETER c_clkout3_drives BUFG
|
||||
SET_SIM_PARAMETER c_clkout4_drives BUFG
|
||||
SET_SIM_PARAMETER c_clkout5_drives BUFG
|
||||
SET_SIM_PARAMETER c_clkout6_drives BUFG
|
||||
SET_SIM_PARAMETER c_clkout7_drives BUFG
|
||||
SET_SIM_PARAMETER c_inclk_sum_row0 "Input Clock Freq (MHz) Input Jitter (UI)"
|
||||
SET_SIM_PARAMETER c_inclk_sum_row1 __primary______________50____________0.010
|
||||
SET_SIM_PARAMETER c_inclk_sum_row2 no_secondary_input_clock
|
||||
SET_SIM_PARAMETER c_outclk_sum_row0a "Output Output Phase Duty Pk-to-Pk Phase"
|
||||
SET_SIM_PARAMETER c_outclk_sum_row0b "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
|
||||
SET_SIM_PARAMETER c_outclk_sum_row1 CLK_OUT1____75.000______0.000______50.0______466.667____150.000
|
||||
SET_SIM_PARAMETER c_outclk_sum_row2 no_CLK_OUT2_output
|
||||
SET_SIM_PARAMETER c_outclk_sum_row3 no_CLK_OUT3_output
|
||||
SET_SIM_PARAMETER c_outclk_sum_row4 no_CLK_OUT4_output
|
||||
SET_SIM_PARAMETER c_outclk_sum_row5 no_CLK_OUT5_output
|
||||
SET_SIM_PARAMETER c_outclk_sum_row6 no_CLK_OUT6_output
|
||||
SET_SIM_PARAMETER c_outclk_sum_row7 no_CLK_OUT7_output
|
||||
SET_SIM_PARAMETER c_clkout1_requested_out_freq 75
|
||||
SET_SIM_PARAMETER c_clkout2_requested_out_freq 100.000
|
||||
SET_SIM_PARAMETER c_clkout3_requested_out_freq 100.000
|
||||
SET_SIM_PARAMETER c_clkout4_requested_out_freq 100.000
|
||||
SET_SIM_PARAMETER c_clkout5_requested_out_freq 100.000
|
||||
SET_SIM_PARAMETER c_clkout6_requested_out_freq 100.000
|
||||
SET_SIM_PARAMETER c_clkout7_requested_out_freq 100.000
|
||||
SET_SIM_PARAMETER c_clkout1_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout2_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout3_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout4_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout5_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout6_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout7_requested_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout1_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout2_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout3_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout4_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout5_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout6_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout7_requested_duty_cycle 50.000
|
||||
SET_SIM_PARAMETER c_clkout1_out_freq 75.000
|
||||
SET_SIM_PARAMETER c_clkout2_out_freq N/A
|
||||
SET_SIM_PARAMETER c_clkout3_out_freq N/A
|
||||
SET_SIM_PARAMETER c_clkout4_out_freq N/A
|
||||
SET_SIM_PARAMETER c_clkout5_out_freq N/A
|
||||
SET_SIM_PARAMETER c_clkout6_out_freq N/A
|
||||
SET_SIM_PARAMETER c_clkout7_out_freq N/A
|
||||
SET_SIM_PARAMETER c_clkout1_phase 0.000
|
||||
SET_SIM_PARAMETER c_clkout2_phase N/A
|
||||
SET_SIM_PARAMETER c_clkout3_phase N/A
|
||||
SET_SIM_PARAMETER c_clkout4_phase N/A
|
||||
SET_SIM_PARAMETER c_clkout5_phase N/A
|
||||
SET_SIM_PARAMETER c_clkout6_phase N/A
|
||||
SET_SIM_PARAMETER c_clkout7_phase N/A
|
||||
SET_SIM_PARAMETER c_clkout1_duty_cycle 50.0
|
||||
SET_SIM_PARAMETER c_clkout2_duty_cycle N/A
|
||||
SET_SIM_PARAMETER c_clkout3_duty_cycle N/A
|
||||
SET_SIM_PARAMETER c_clkout4_duty_cycle N/A
|
||||
SET_SIM_PARAMETER c_clkout5_duty_cycle N/A
|
||||
SET_SIM_PARAMETER c_clkout6_duty_cycle N/A
|
||||
SET_SIM_PARAMETER c_clkout7_duty_cycle N/A
|
||||
SET_SIM_PARAMETER c_mmcm_notes None
|
||||
SET_SIM_PARAMETER c_mmcm_bandwidth OPTIMIZED
|
||||
SET_SIM_PARAMETER c_mmcm_clkfbout_mult_f 4.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkin1_period 10.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkin2_period 10.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout4_cascade FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clock_hold FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_compensation ZHOLD
|
||||
SET_SIM_PARAMETER c_mmcm_divclk_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_ref_jitter1 0.010
|
||||
SET_SIM_PARAMETER c_mmcm_ref_jitter2 0.010
|
||||
SET_SIM_PARAMETER c_mmcm_startup_wait FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout0_divide_f 4.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout1_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_clkout2_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_clkout3_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_clkout4_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_clkout5_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_clkout6_divide 1
|
||||
SET_SIM_PARAMETER c_mmcm_clkout0_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkout1_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkout2_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkout3_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkout4_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkout5_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkout6_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_mmcm_clkfbout_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout0_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout1_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout2_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout3_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout4_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout5_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkout6_phase 0.000
|
||||
SET_SIM_PARAMETER c_mmcm_clkfbout_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout0_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout1_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout2_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout3_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout4_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout5_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_mmcm_clkout6_use_fine_ps FALSE
|
||||
SET_SIM_PARAMETER c_pll_notes None
|
||||
SET_SIM_PARAMETER c_pll_bandwidth OPTIMIZED
|
||||
SET_SIM_PARAMETER c_pll_clk_feedback CLKFBOUT
|
||||
SET_SIM_PARAMETER c_pll_clkfbout_mult 4
|
||||
SET_SIM_PARAMETER c_pll_clkin_period 10.000
|
||||
SET_SIM_PARAMETER c_pll_compensation INTERNAL
|
||||
SET_SIM_PARAMETER c_pll_divclk_divide 1
|
||||
SET_SIM_PARAMETER c_pll_ref_jitter 0.010
|
||||
SET_SIM_PARAMETER c_pll_clkout0_divide 1
|
||||
SET_SIM_PARAMETER c_pll_clkout1_divide 1
|
||||
SET_SIM_PARAMETER c_pll_clkout2_divide 1
|
||||
SET_SIM_PARAMETER c_pll_clkout3_divide 1
|
||||
SET_SIM_PARAMETER c_pll_clkout4_divide 1
|
||||
SET_SIM_PARAMETER c_pll_clkout5_divide 1
|
||||
SET_SIM_PARAMETER c_pll_clkout0_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_pll_clkout1_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_pll_clkout2_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_pll_clkout3_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_pll_clkout4_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_pll_clkout5_duty_cycle 0.500
|
||||
SET_SIM_PARAMETER c_pll_clkfbout_phase 0.000
|
||||
SET_SIM_PARAMETER c_pll_clkout0_phase 0.000
|
||||
SET_SIM_PARAMETER c_pll_clkout1_phase 0.000
|
||||
SET_SIM_PARAMETER c_pll_clkout2_phase 0.000
|
||||
SET_SIM_PARAMETER c_pll_clkout3_phase 0.000
|
||||
SET_SIM_PARAMETER c_pll_clkout4_phase 0.000
|
||||
SET_SIM_PARAMETER c_pll_clkout5_phase 0.000
|
||||
SET_SIM_PARAMETER c_dcm_notes None
|
||||
SET_SIM_PARAMETER c_dcm_clkdv_divide 2.000
|
||||
SET_SIM_PARAMETER c_dcm_clkfx_divide 2
|
||||
SET_SIM_PARAMETER c_dcm_clkfx_multiply 3
|
||||
SET_SIM_PARAMETER c_dcm_clkin_divide_by_2 FALSE
|
||||
SET_SIM_PARAMETER c_dcm_clkin_period 20.0
|
||||
SET_SIM_PARAMETER c_dcm_clkout_phase_shift NONE
|
||||
SET_SIM_PARAMETER c_dcm_clk_feedback NONE
|
||||
SET_SIM_PARAMETER c_dcm_clk_feedback_port NONE
|
||||
SET_SIM_PARAMETER c_dcm_deskew_adjust SYSTEM_SYNCHRONOUS
|
||||
SET_SIM_PARAMETER c_dcm_phase_shift 0
|
||||
SET_SIM_PARAMETER c_dcm_startup_wait FALSE
|
||||
SET_SIM_PARAMETER c_dcm_clk_out1_port CLKFX
|
||||
SET_SIM_PARAMETER c_dcm_clk_out2_port NONE
|
||||
SET_SIM_PARAMETER c_dcm_clk_out3_port NONE
|
||||
SET_SIM_PARAMETER c_dcm_clk_out4_port NONE
|
||||
SET_SIM_PARAMETER c_dcm_clk_out5_port NONE
|
||||
SET_SIM_PARAMETER c_dcm_clk_out6_port NONE
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_notes None
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clkfxdv_divide 2
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clkfx_divide 1
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clkfx_multiply 4
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_dfs_bandwidth OPTIMIZED
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_prog_md_bandwidth OPTIMIZED
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clkin_period 20.0
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clkfx_md_max 0.000
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_spread_spectrum NONE
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_startup_wait FALSE
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clk_out1_port CLKFX
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clk_out2_port NONE
|
||||
SET_SIM_PARAMETER c_dcm_clkgen_clk_out3_port NONE
|
||||
SET_SIM_PARAMETER c_clock_mgr_type AUTO
|
||||
SET_SIM_PARAMETER c_override_mmcm 0
|
||||
SET_SIM_PARAMETER c_override_pll 0
|
||||
SET_SIM_PARAMETER c_override_dcm 0
|
||||
SET_SIM_PARAMETER c_override_dcm_clkgen 0
|
||||
SET_SIM_PARAMETER c_dcm_pll_cascade NONE
|
||||
SET_SIM_PARAMETER c_primary_port CLK_IN1
|
||||
SET_SIM_PARAMETER c_secondary_port CLK_IN2
|
||||
SET_SIM_PARAMETER c_clk_out1_port CLK_OUT1
|
||||
SET_SIM_PARAMETER c_clk_out2_port CLK_OUT2
|
||||
SET_SIM_PARAMETER c_clk_out3_port CLK_OUT3
|
||||
SET_SIM_PARAMETER c_clk_out4_port CLK_OUT4
|
||||
SET_SIM_PARAMETER c_clk_out5_port CLK_OUT5
|
||||
SET_SIM_PARAMETER c_clk_out6_port CLK_OUT6
|
||||
SET_SIM_PARAMETER c_clk_out7_port CLK_OUT7
|
||||
SET_SIM_PARAMETER c_reset_port RESET
|
||||
SET_SIM_PARAMETER c_locked_port LOCKED
|
||||
SET_SIM_PARAMETER c_clkfb_in_port CLKFB_IN
|
||||
SET_SIM_PARAMETER c_clkfb_in_p_port CLKFB_IN_P
|
||||
SET_SIM_PARAMETER c_clkfb_in_n_port CLKFB_IN_N
|
||||
SET_SIM_PARAMETER c_clkfb_out_port CLKFB_OUT
|
||||
SET_SIM_PARAMETER c_clkfb_out_p_port CLKFB_OUT_P
|
||||
SET_SIM_PARAMETER c_clkfb_out_n_port CLKFB_OUT_N
|
||||
SET_SIM_PARAMETER c_power_down_port POWER_DOWN
|
||||
SET_SIM_PARAMETER c_daddr_port DADDR
|
||||
SET_SIM_PARAMETER c_dclk_port DCLK
|
||||
SET_SIM_PARAMETER c_drdy_port DRDY
|
||||
SET_SIM_PARAMETER c_dwe_port DWE
|
||||
SET_SIM_PARAMETER c_din_port DIN
|
||||
SET_SIM_PARAMETER c_dout_port DOUT
|
||||
SET_SIM_PARAMETER c_den_port DEN
|
||||
SET_SIM_PARAMETER c_psclk_port PSCLK
|
||||
SET_SIM_PARAMETER c_psen_port PSEN
|
||||
SET_SIM_PARAMETER c_psincdec_port PSINCDEC
|
||||
SET_SIM_PARAMETER c_psdone_port PSDONE
|
||||
SET_SIM_PARAMETER c_clk_valid_port CLK_VALID
|
||||
SET_SIM_PARAMETER c_status_port STATUS
|
||||
SET_SIM_PARAMETER c_clk_in_sel_port CLK_IN_SEL
|
||||
SET_SIM_PARAMETER c_input_clk_stopped_port INPUT_CLK_STOPPED
|
||||
SET_SIM_PARAMETER c_clkfb_stopped_port CLKFB_STOPPED
|
||||
SET_SIM_PARAMETER c_clkin1_jitter_ps 200.0
|
||||
SET_SIM_PARAMETER c_clkin2_jitter_ps 100.0
|
||||
SET_SIM_PARAMETER c_primitive MMCM
|
||||
SET_SIM_PARAMETER c_ss_mode CENTER_HIGH
|
||||
SET_SIM_PARAMETER c_ss_mod_period 4000
|
||||
SET_CORE_NAME Clocking Wizard
|
||||
SET_CORE_VERSION 3.6
|
||||
SET_CORE_VLNV xilinx.com:ip:clk_wiz:3.6
|
||||
SET_CORE_CLASS com.xilinx.ip.clk_wiz_v3_6.clk_wiz_v3_6
|
||||
SET_CORE_PATH /opt/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6
|
||||
SET_CORE_GUIPATH /opt/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6/gui/clk_wiz_v3_6.tcl
|
||||
SET_CORE_DATASHEET /opt/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6/doc/pg065_clk_wiz.pdf
|
||||
ADD_CORE_DOCUMENT </opt/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6/doc/pg065_clk_wiz.pdf><pg065_clk_wiz.pdf>
|
||||
ADD_CORE_DOCUMENT </opt/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6/doc/clk_wiz_v3_6_readme.txt><clk_wiz_v3_6_readme.txt>
|
||||
ADD_CORE_DOCUMENT </opt/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6/doc/clk_wiz_v3_6_vinfo.html><clk_wiz_v3_6_vinfo.html>
|
Some files were not shown because too many files have changed in this diff Show More
Reference in New Issue
Block a user